commit f380a502954ea1b7bba8e237f09a871cf8df6425 Author: mii Date: Thu May 18 11:45:32 2023 +0900 first commit diff --git a/cpu.gprj b/cpu.gprj new file mode 100644 index 0000000..e307f2c --- /dev/null +++ b/cpu.gprj @@ -0,0 +1,15 @@ + + + + + 5 + gw2a18c-011 + + + + + + + + + diff --git a/cpu.gprj.user b/cpu.gprj.user new file mode 100644 index 0000000..081b8d2 --- /dev/null +++ b/cpu.gprj.user @@ -0,0 +1,24 @@ + + + + 1.0 + + + + + + + + + + + + + + + + + + + 000000ff00000001fd0000000200000000000000e1000002e0fc0200000002fc00000037000001290000006200fffffffa000000000200000001fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000006200fffffffc00000164000001b30000009301000016fa000000010200000003fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000005e00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000007c00ffffff0000000300000780000000c2fc0100000003fb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000000007800000009b00fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0000000000ffffffff0000005100fffffffc000005050000063b0000000000fffffffa000000000100000001fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff00000000000000000000069b000002e000000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000ffffffff0100000226ffffffff0000000000000000 + diff --git a/impl/gwsynthesis/cpu.log b/impl/gwsynthesis/cpu.log new file mode 100644 index 0000000..bc09e89 --- /dev/null +++ b/impl/gwsynthesis/cpu.log @@ -0,0 +1,34 @@ +GowinSynthesis start +Running parser ... +Analyzing Verilog file 'C:\Users\kuroc\Downloads\cpu\src\memory.v' +Analyzing Verilog file 'C:\Users\kuroc\Downloads\cpu\src\top.v' +Analyzing Verilog file 'C:\Users\kuroc\Downloads\cpu\src\uart.v' +Analyzing Verilog file 'C:\Users\kuroc\Downloads\cpu\src\core.v' +Compiling module 'TOP'("C:\Users\kuroc\Downloads\cpu\src\top.v":1) +Compiling module 'UART'("C:\Users\kuroc\Downloads\cpu\src\uart.v":1) +WARN (EX3791) : Expression size 5 truncated to fit in target size 4("C:\Users\kuroc\Downloads\cpu\src\uart.v":98) +Compiling module 'MEMORY'("C:\Users\kuroc\Downloads\cpu\src\memory.v":1) +Extracting RAM for identifier 'mem'("C:\Users\kuroc\Downloads\cpu\src\memory.v":13) +Compiling module 'CORE'("C:\Users\kuroc\Downloads\cpu\src\core.v":1) +Extracting RAM for identifier 'register'("C:\Users\kuroc\Downloads\cpu\src\core.v":17) +WARN (EX3791) : Expression size 32 truncated to fit in target size 21("C:\Users\kuroc\Downloads\cpu\src\core.v":102) +NOTE (EX0101) : Current top module is "TOP" +[5%] Running netlist conversion ... +Running device independent optimization ... +[10%] Optimizing Phase 0 completed +[15%] Optimizing Phase 1 completed +[25%] Optimizing Phase 2 completed +Running inference ... +[30%] Inferring Phase 0 completed +[40%] Inferring Phase 1 completed +[50%] Inferring Phase 2 completed +[55%] Inferring Phase 3 completed +Running technical mapping ... +[60%] Tech-Mapping Phase 0 completed +[65%] Tech-Mapping Phase 1 completed +[75%] Tech-Mapping Phase 2 completed +[80%] Tech-Mapping Phase 3 completed +[90%] Tech-Mapping Phase 4 completed +[95%] Generate netlist file "C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg" completed +[100%] Generate report file "C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu_syn.rpt.html" completed +GowinSynthesis finish diff --git a/impl/gwsynthesis/cpu.prj b/impl/gwsynthesis/cpu.prj new file mode 100644 index 0000000..aab8109 --- /dev/null +++ b/impl/gwsynthesis/cpu.prj @@ -0,0 +1,22 @@ + + + + beta + + + + + + + + + + diff --git a/impl/gwsynthesis/cpu.vg b/impl/gwsynthesis/cpu.vg new file mode 100644 index 0000000..f68ebdf --- /dev/null +++ b/impl/gwsynthesis/cpu.vg @@ -0,0 +1,5135 @@ +// +//Written by GowinSynthesis +//Product Version "GowinSynthesis V1.9.8.09 Education" +//Thu May 18 11:35:32 2023 + +//Source file index table: +//file0 "\C:/Users/kuroc/Downloads/cpu/src/memory.v" +//file1 "\C:/Users/kuroc/Downloads/cpu/src/top.v" +//file2 "\C:/Users/kuroc/Downloads/cpu/src/uart.v" +//file3 "\C:/Users/kuroc/Downloads/cpu/src/core.v" +`pragma protect begin_protected +`pragma protect version="2.1" +`pragma protect author="default" +`pragma protect author_info="default" +`pragma protect encrypt_agent="GOWIN" +`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.1" + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=256) +`pragma protect key_keyowner="GOWIN",key_keyname="GWK2021-10",key_method="rsa" +`pragma protect key_block +hfaO2bCn+0cx2CW7Lud48qC1KpYV4FFB3s4uItc+c/KsSI8ULpU16zx+rndP8nSLjdk8yncAW1nW +UcHKUK86uVnDj17GQGv2xCeWSHYpn76rp+ntn3MA6HOvvjgI5gF+S561l8tONETxO88pECyPksXo +NG1UB2is1zxr6sEhTD+xeElprr0BdxFGbC9W2+1Om96NfbgVNV7E5ZAvTdzkDdFo0WD82YjKIGx5 +AjXG4hpURqCoJyuWxqg9Ml69Hbkol+o++RoDjIciR+ukq3Q+awt604ZBh7wQXIdAFCeAkkNv1wh0 +idP3NpKWk30aw6pwElPNkV7eKRoOZdjfTEiZaQ== + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=290832) +`pragma protect data_keyowner="default-ip-vendor" +`pragma protect data_keyname="default-ip-key" +`pragma protect data_method="aes128-cbc" +`pragma protect data_block +xQSciJkWAcrAG8ZBSvUVAGHN+jClwajt8HaTLRd1nBu9GksSUjk4J2l8bMdaga0l1m3TpGz48S8D +stmoQ8hvD3SdrNmG5Uqvu8wHiJeKSKlGevAcknUhAlt+1M6Aj1MQZTBk7G2/DWU99x3oY+DloexO +LdIMj90NChAnwhMhlwK0a5U1H7bwNvLJGK4lwuF2cuZIFrU7/37YFoavPdQM7UV2Q955k6HMvwjC +s5+MfC10e5lfUYJCe08LmrtMZU73gQFwy8brkTkgZKNdlcXEWtoXNLoX0UseBHKl3AZuyErW6I/q +duzBgzSQC4Ty4qoI/EhiZ0Nu/5hG6KeF4lor/g0vo1b1hlRQlT7gH1aoMIbRiJJbRQi4/fDZKNHB +mz3Kl3Td6+gjzkD9jTFdLJ6cXaz2KA7yK6tp5CfxvPq+Hpo89g4EmqLARvTkYSWhWclln/et8mWe +SfTAAarh1uC0udtWCOA7G2IGvqfb4mXm7jElmJcasoLKfiaStxfmIa85IvRwcmZvaxHqIta+ANIk +qfjh8bCeL00CvNy+tHCoRrlsGu1qrnrL29pJ2zOttQZQKBDyQDJ1sz82AgDvJcyZlis7CsG3/Vds +30z0+6tXToP87fKDs2wuJZ9l2sYE715DQK7SO5HHySvwRRzx2Zh+WywoeMdm52alR64su255gizX +jf6IJ3Ajoj2CXB6FN5D0QzrxbPqUVQdlKr0yRay6c1LqG8sgYxLvT48BcRvXyxo0YuaGnM9qToSF +n1Fr9AB1YmBcVubj/LSWl4UPiONww6GA4sqoVS6eeVFZ+S46Nb0QTm4aB7N75ug9eP2DzJfxMToE +CrsrPrKV4UevRRflsxnPpvCSk+fxWul05vHfQQo2pMLy70XxmTnCqBVZE04UEXYeuW1zLMEvTvq4 +V4EK0DtxGMEPJ9OPO4K1Azb7Hqy4Y1o7UIgE29TUv7Wet78i73OfEYX5F4Wt01L9FbMc1DN1xLc4 +uEBoydkkWhFxxvloLgSWobVHpBooVX9OKrBCQwCTuIjr6eEAcV+oyapedkbUSjmvWNQrGZXb5dIw +/8t+jATM0X6ay+4/OpNJ0B4GITAdJUvHX9HyPa+Cx+yAJ39+wmk+JmdG04rC0BojJQZJkNs9wStP +cA3cx5pc9TxJOZHP/hOk6bXW8f9ny5p2selsCscCKgdBMWjOjq1oSmy5HDE5QCCk2dme0oytaCo2 +fjZ74ZGaNTY6YXtys68SpaP0DmLP7DcaMgeuUMvntYHiyr1ZuTC94PWcIsXLSBn6XOtp5/ZxPBNS +67zRk9Oong6hr+LYk5i4foeH4UOqOoRFddLz6iXDjF7xuxTQqUhEJ9Go+G/DqIknaXpNCWNvYsxn +X2XKpnGkJeTe9yEoS2LULQvjA+BPu0Lwqtbyb4HjSID1+e6IK6EkEToFQC6OE4Qgvyt/qGOebgA5 +4q4bZWergdGD9c6Ncyab3MvomaoqKj5LjqHMvEk4bVy8u81SHV7OSHgQuQZ29tqM629AJI7Ug0Iw +cA8D+63NGjEK/+siWGeIxVYNDKBMWjxmZ1pgKCfPaCQi2OY2rpslUMFfC/zQFKkDuhbTrrBPTbQo +jRO7pvhLizpdGxDx4PU3Bd4CpN/gnZn2IYbFoJjqvKynIN8jgym6cmZ/CZOCKTG+XGwEeinsagMN +UHEPG24tueQMFXkZ6/I+DyUoVLiPfy6ikq5EQ9haFdRMh3rB/2ypMwBquMiwZqkYhroj9hs1FIpK +xln4nFjaTizWdTSRtA9TPKEDg7xXP4q9zCmiGH8NItYsLQqgXDNfVrTsgtkUdsCpSHe4UThETX8k +RmeXvIVmY4i1yHo7Uu/Ly+XJZqGI1pr4eFI5VaeievZoIuGuJ35CMYHMstQ56JfNCGFnq+KAspWb +Td4D7H7J9c9h1wDCjHSwIxpoKkA3XDd0p7ToD/dSQFU74YSFGD+xmeIywdwthFupY4IoEzseVurh +GZyR1+r+g9dKmaOEIJ+ztuCjXchb/sSpxsVg8r9mk0JP7q0M71NKD623780A+KKR86ShSyXgl2I+ +yMnu7zkFnAUSdN0rGoZhWmzEzZ4gn1NYuYJjMXwCkhsBZb8NYXKztNvCBZQfqy8IXN/BS0o49pXM +sCtM8iO4CFLLzUTyLeKR9VZKGe7X1zeYuNCHXgkuOtQX15BRMg3J7GP/Z4TCbVW9C3WE5jmk972b +D4VLFzG73tv2EjlPuTqdvuFuX/zs2iP4SA/7SWV8p+MW/NjmRLtKs2ix8x/ADJ92ViQoeWrLghOk +7dzRc5RL3MNH4UXm01kp9rFeXL+9TI9n6mFtKAOV+Bl3CzJUE/me72N1+toljSGi2wPcWTNMa/5b +o4aiUk1dhoEH1RWKV7ABnGgv8/6NCzdwFKhyPjY7wDrQ1cuJ0c7C2v9u6m08IYOX/qLw/u5VLEUm +rcDc2troEUiGtjR2c+6ds4UrwgnKaGj9s/C79bfqTxlX7G4Sx/hCZP18JzmzLr3dFyouMn42jQz/ +lYvQCOJOGJzmrMryXUTEW+AeW0P6598xcZz7CrYL+XJZ5LmS3ZIEzVD0fH50O1nCEWhsx9vGfSQv +egXP6/SANLzh5cx7hUiGR4131PWTInWofuZy9xAmMB8NFQ11D6Ewp9aksHb+q32I8FBBIycoNxxq +8dyTKZ6gsjBEM5tdG7S9vUf5a/ILgCQjLeEDtgNMtI+eLaJdaYXyWuzsx1JvCcLqK+X+Wh8LZvNn +2cQMu8Aiq7G2l+4shmtUiMgrYwzRW6wX0Cpc12COsroke6BqI5SExMCypwXsvAAv9SUWpc1aASy5 +xSmJ40JZ/vTOguxKX+uukJyoECvF0FJqd2JFlvpbDbBobP/rwHI9jlcdICYTJdpUoeVJjivNlmVe +7FysqUHB+bokDn7bUIAamY1V88nJ9A/NPA788IlVeg1GK0P1TIUIcHzfqk65mgoaOsLtkBoriKYs +p0M5TxD2/NxhcUJDFLhKgmod8UjT4VVSLbXqKqUPdhyQ6o1P3I0A5K8UNQNpa58xinMyVOZ42WUK +Cw1brg41O0bwxjlKOv26bGA2fxtI3mxDF6U5RNKww7YFVH8geI2kLF6nprTKSw7d39ZjwOrM500s +OXgfRbP7POAnLEkCToLZZsMv52coqWmG3t20tF5yd0CpYwPKB/55ZiNoxgAUI1SFDPXKVB2A0voH +/CqP8b+mWhD9LTWhy23WqWJELgXoJmGsDlj1AwtXVwhegOOdgLXUyQLPzxvnKhHoN3Ttux1m3Sky +q4nHXpS5MdTwjA1EQj5pEokxpXR90tpaP0yFucST05ZzKtxOnLQ1akokJQIP27bYs5MI51UvQJ4r +kZG8Mcgt5yVtx0KijHKJrxnRjXMzQzF4Y0QwszMOm+0Dv7a4I0dXRxusoW7q7+NOhwZJYqGoviey +AD3q1L2cTycri7gcJaT+7Ke/mYkycJHxyd6v4nl7UkzfJvU36HOawwKOyDuFHQWQqVwuHRVPTM6v +5ylmUViL0pDuid5lvyU841lyI9u43oiBxXFuU8TC/5EJBm3kI8v8O2hs5QtJRkZzYLDY/ZQgwli/ +h83LYcjPgBHLqMI6zxiIGhQeDhhQ3hhPJS6RSHdM8wDwlUfCS1F6k8ym+iuwbnFfXx64npOpRl9k +4jYzaqCoa0DbhTeMBX0SPQeYHCbNW/FlJFXOBSGAnp3M70DfVhh+uoNhaGCUMr+GMGnlYN39iNvo +LigsBRKLzN9DREMq91H9FroAK5qtbxjwZw3Juf5K3vkEyWH7/Ly189jHWZsMeG1Pta1pca4OA9za +fl52ccST+1ung2KKzKg7dt8NcClXaXbMqWl4owZd9/2RbCoNvy8aDkC3JjRTts8paw8TWnT1VPu/ +ozymBb0VUrwoLWm205Vs2KlgGE9ZloXmVbV6JeD9DopCwY36/6ksQm53s26blu25MQa7Acu+AUud +X4LhWj2UlJDISPFj422Vcb6XNpImkj1llJUc0l7/VS/L8tGwRm/nF+Shu8XSZRcde6XpKrQP/P4a ++KPKmx/SmJq6enpowKZxLjuAbXUfBF/Agtti3JVVMUdXW5n4bjNtAlaJqcXt+SHYGzAOVxj40kJx +9cAHVfdJ0KxHZpYgsmFChJBYt4eCqk8CKvE7p+4P7pJTSTWynBxhTXqiUk51ceGKnY6XF8mCCHgH +GLBPwW84NaTQ9BejZFElcwjEt1FSeEYX+3aOw4MA5CqiCldm8IFFAfwYrcCZ1+ZNW9ynn4sqF8EE +oJSUEDSWxNpcbRDmCcIUY8oJ0OGYOADbfidNGO+o4UmtxPzEQSS1bB6fxmT48YP7kwu+VqsHDviJ +fO2bLGwmIgTvZ2s9mDukbhZKmoNCF21Bqc7ZyBwge0f87Q4CKLQF/djrex5numFzkwAuQNNfqZ7+ +athfeNMc784zKmFTFZO1Px0wuLRDzMi1nXyI62AvoFc8lBf+2KkgFkfA599ZcXO+AnnTEnJ2egXq +pzHhqJL7iu71m7ikRXyfhCZqZt/ti71W6lLoY+RyIbSv+KfMhiSxnrAxxxlv/aQdePVRVKqqDAY3 +IJd2uhVJdU6BSE1/yuINvzurh4p5MkxfJDahIXNVNiUMYH1S8KmUnafsV+/vqa63HinAz+p8CZHQ +xfg+zMJB+COoQnr1ZGQV2owvNLN1tKXD4ijVgwnsVmq2/crKYHCBlyZ4O5JXNNULq5npth8ZdEek +HGq12pd3O6Ebe/rjEfxxm+RBOV41vaVpeXVC/K5sT1Z+hp8fIPEdHr5dpdS3nWqcTHLVHyb7555M +44N1rqNLdxHRkJDp1StWRno25ZIbV7guB7YgMKk4nb9fP4ij3VIiBrJEl6kILUE/8ChpouuvcRwo +vNrjL7rOsXzpDFoyIm+O8TE1LCl07k/Hrnzo1QOR4WS+W8bHq6/zaUQO+KsXLn8r8LDGm7Wfh1Ci +/1JO/lnqdLASRouEG0hu/X8PbOYZVzP5oML4b60aGWDjvxD0mGMyeHpOXGKa4jg3Nd8WT40xLxPp +iqMvxl6Q5PKyw9QvVRSVsjNzmmLc/GbmSkf7sk/FT3Qv5pR40T+vb6d4qWdZvbHGAkJSCewyAzFp +pfIb5GeWBKQIG+0V1+PYTCa2GAwGyjlwTKvOgUr9NfDue3A0en+HQu9gHqFFCWGQ4PSftpO/IRSx +cs1PCB0wYshBpFmLL9/opDBK03GDJ0L2sPBJS8HM5CiHwaTlKXYHbZafD8r+emFp1qcUJzcNsFga +A8AFVv80AMLOMRpCpOGNyCSx3bRTGn12Xh/W9UsaqJs/JgF9Zc8dX3PyM/N4J4jajVt9goC0X/5A +C+EwlguaioyS/VSVFxoUchPjq1pW+52CyU9b2ATQALcf41shjsCvruSkNg1sDH8OjbhlSHr9S+6m +idkFY1BCQCKWn1eQhdOEQBVWT4kRi9tc5NOBYnGfjpKNBw+csk2dQeyWppfJfaKhiJoGLjxjKKFJ +CMpFWZmROdM91VPDC8YD1cw9t98ImQbUPpSGErgoFHcb0PCrYYuGLhPgQn92bViDAT8OjNBx8p0z +KWZamyt8D2Ls/5qkdYnbL3FuvYtiqRhOOKWg+f4nXnBqLers7a3HGHjHUeT7hF+eNZa0pwUZekvC +Kl0cSJpny0zQ/7l5rdh9W2AgiLsw0pB8VdEV+6dcRw82IMSGwL3S8N1rUSUI1q6I0TCeohWDTjDy +kJpOYeNfUBJNG+EvjWTcM19ilrZpdLJ0i/JGOZe7oSLEwsZXwh2e4o/AmVfsVyQWg80VTXZsP8rS +kpg5sKa1+ZoWFAFXNSQXD80btQAkDP7Y4phrl7EJqaV/MibuUNlMC1b6kOfTJZJC1F1WJgbQl+Jz +ZwDdvS8Stb6w5q4/8+tZCLqL3wqCj4i/BkQEPGgcCxLNwBiYYlQERue+BxNAuZafVglBNzvrngg5 +BsGq7KHn6CQU8x8lQ9UWv+uZZsMPT89G+/SlV6lBDhJ/DAF1LHtvvCyhGEAFC1FfzfymZDScDg2R +vCIjy6wuVENmpwVPBE7hCVsrV46kW0PTVhCDe4+JnYB/zXN2Aim8S4yXM5rLqJjT+nFvrnUxv362 +eGoWBMS5ALFOR92rMPmBGKbIxxeL96SifwHlYEFDILQdBxcLC1iD0YG455y9dH364CngG+fss2QK +TzU/h6GUNH67mlPNwLf5bWipcofXd2znI0i26IoyJGjbthI5kYxddZSqdSJvLi++P7dfXgH8Hx1k +49O3Bc/xTwWexXTkRQ4pb30g6nGCFSTj9IfIcnGN4SI9d1gI7Lic6Qr2d8cXb3UOjn2jl2CMqc11 +lt8x0mf2MykVO+b3ykuepr72SXTlnX9UVb4PPvQXniLssH7jIvw0Omd1Ynargynqt+UI/mMOMxlU +atu6KOBhlPPOiG8I/lbP6zY7bJkTtVmmg+2Y3bfGTocVEh1gil+Ds+9NonXpwpIC8BOGPmOQ92Rp +CGaMlr6EdBvIRLC/3Wx15B4JdkAX/Pidiq1FYug2xDMNhlb1Peld93+iVf13QD1d7C9wcItVXsA9 +jX3AYLkhYVWlS0dag14QGZAFcoqyWB5u+c2maL9D+3tcZaeQiGVyIff1zQPLdV9ksD7GT8nuinSm +nM+iw+/FBrHAp7DY2JlT/j5uSYfQkR9RKLL9RD1P2gBo6m2MkLBZjTmGzICQoIkxLWaOne892/22 +NMt8GiXR/GIjTWtUGHsv8FGLAxS9gXKGKZG8UhoG4PdRpBd5WRs/f+9RlQguy5Izssyd1bnZKscD +hqiSCls9mDHw/Fc/M/cAQrTKmSExApCtd35/AA9CvXf9JwLAy4Nl5GooEst0qzaSBTBNFZk0udgW +Xph5AOXZf14NgaOXeKK7G20ak0CGLE3l2Ti+YZ5zD/mlNgngduK6pMk9xMoXDCw7TcrFC7SV1FGt +JjzbOg3NJ9aoOxIedhEy0POsWc4KMz6r8IK2CegB5AON1V5Uq+ygTzqeOkJHZHJxhjCztEbxlPnL +zM+INPk1MWW0AIVVScVZr1AKXF/6AK4qxHEFIg+GrxRw7YgXx8Lgveo3aUHRHDpJ0Mo/GUMkc5+L +kOCmEzJ5HK08oGjGd2NMx7rNhPdAdk0D0783EBLz/xRsg9uxpI2pYGho2WZNsbJ/NFuR4UjDCDSL +2qyPgzIL9Cb74Rrno4baAdtGCz6WMdrguhK5rncQixA4hdcHvwj5cQGUt0wwbXaYWKVNd9WJStrF +3viUunYMS1AYG5F7iEZ+UXVdujNJ6z3xz47u/veGl74dWJd+gFmY3Y8TFdK5CQafEBVtjH+Yjpo8 +L9re5dm7lTGXfc4Ev5HEpBwGTc9Xz4tuMrdJzrG1VIY3m6QDXa/SNkUw3a7u9PtikpeO3dFkuVKR +qpLayf4NfAIfProai4zoBJHPVpoikC243GAMpERz6jrgU05blvF4+pV3/ArV8U7zuNU531FcBi/o +iYq3pDtbfRJujBWQVjwvpbONItxkWGSSrG5S83gONsp4faYL81WWoNtKxoJEnAvf/PWFVu/b58I3 +SMkMVMhuKeXPU2NGYHCIw73al6Hbcq7dnBo9b9rEJgEyOOXB4D6wpR3pHcD0uBBgxwxRPA/QuvyP +kdhU9nemdf0nTaHPqTeTV4E5js9w5GSEVw2JlxsIBoR1k+1OB0Nt5//cZGYJWijjMNWGlAk/TKDo +TqSjN3MONliCdWFBG9Rk+PyFCOX4aDJ0IRKzOqcyx5bGhlyuOazNP9D1xb8stUQCSz8lVhd0MQ9r +qQ4GuUGDW85znt39c9RGwbOYWpfQRI5MYeonLX8GHgVbzzPH4UnIZmPMqjDIHkXcRmQ4PlcAFj15 +g6KRnPPVDWzEDhndGR5X5YwKfg94zsOC8FpsI6Cl977Ed1wPTYDGzC8CSxXNmf8jVKJJO1gYlysL +2bkukKTFkXoiP4PMFtbrCbg85Rusgn1z/EKtwCv6/B3cN9N2devtGSCEfIzFXM+r3NxQdKvNlE9S +q1iOLL8b4S/rtiiFcP9BzDMOQasaLSqgZmxTMwomIV6QgkrAYGMAQ3KxBCSJ+8sdHUD0Ldj65WyW +iUki5+/rHbEZVQb7GBWkBe01GOIc4ztyfHSffdNdm0NIqo6ni4uHK6vI0xUcTLucxf+cXs91i9TK +a3y+A1EkW+crEVzt58qszEAvUNMJGifS6yxygZWWVdJyjU4BgcliMG2Mlmu/aCT5Y33d7q9kWeDL +8MmqFe+FjsIQoVYW8hgCdXk8M1RSM690QTehal0z1+Qkn5Zf0kpDCHO8ZtvwcZcbDQNTEzlPhanE +1QY+rclShvD76UoX0Q7R+WDu+1rTeyQ8+jvf+bZqBmO6Z0LYfsGncScfnXMYLYXL+JFvm+WcXoMV +jzWEE2THj5tx2fbBf2VuaVQ+6NE5hWBuHCbkSBIL6mQqWRpuBcmKSDFb6hG/y696yy6v/2jUVPgx +CkZ+MZWNaUO7RHjrenC2LsKYvZ1MKbhtfmywcWGfo/aeaGdB+zucBim6YljN4kSwdlODffytEpnW +aKN5/VOdsKD3msZXNB7NcpfkEPGDFlasJU5PJPmu/Qr9uscHbzIY7chDz4Uk5plNXe7M5GoZJdP0 +Yd7vjWudQNGRP3OB7TSLaF9lXATIMRoEE67Mdf9MCm77XbGrjJAU1rl5pBmQIdcRD8eDjWoezI60 +SgQIhd+u27CnV9fHWfsnZCSaUKYYVUOoXtjkHE0vmp6DcQh/2/bs9vBhxbMT6ljE15fsQt8XFNLX +o40DGg3he3X4OAN0pAiUx5cUuEqRnfcWzmFxZY5z/JJjdnaYxE5B2QIvLh4PO0guewVAEvh4Z9/s +BTuQ7FMSQ0doeUENtKRfcqrXKVZ9uOLHNTzfuSJLuLpRc13OKNLT4WBd8/4brdd66ubHcpg3gKo0 +b8qmlKfYkAGGy62Rz7HkJwOAKkaAa2FLVmXuWrCYE8/0mMSUVK6C9lDRXFzqjTfRw4hpxhhlVz9T +zxLGXWsAlO6UqEKe/DNBO/V+QL6YIzSIZwYm+2d8VUK87CpLtolgiRE3rOKkhAeszLAGNSHCcwB1 +F79aJtm8X3vDAnJsATgjZ7rbIVFOJ9oldkVMnwZ6mte2t6Diy8BQD0/Wgkh/YvS9EfWkMjtFeqEW +QJB6Fn4fpxD30mXUqon0x3KcnAWM6cVDSC30dKRLrDkKjXFoIhnMEMF7Q27GvOgQxc0uzYk8czn7 +bgleXTxfv/EaTIkXhbjMiB3K5BxLU78JZw9UiUL0WVgwbI/170CcafvsRQu0w3ORobia5f6tgqDL +6ms+Pgaj4eMACKcZc/fEXAZWa1rmOQhvgRYZwfDWPpk1iWHca9GcqtDzYHcsoqzVTw0zgb0atjnY +P3lNeft9Q5C8HaoZzLxCwQCpvx/yN/FZwRGMuQh41vJ3jkmsnkA9o/gn7IH3uxBckNBXz8T9187+ +lhRH7FKmT+w0Dxpe41h1jPh0A0mo2MHM+1AiMndQDpkgxG+BUfX85rq10FrklppU79JtOf4Hpsik +fJFbs9wzkQE+2jZLnZs798Af5LTxCO5WeMtUnVnAwoCOHhpcrSMBq8RM51+puJdz9S2K5Y7ZbECR +3InVPMA38ZO50sx0pmxOB0078Bhc9lZMqQ3iA6KY+rBUsQ3VpVNXO5s80J3Zf3xJDJNdLSAMDB6p +UjxBkGrUK0oWW9x+YxQgKr7us0Q0uTz923kVPmmhJnXP8ECsxSf6gAL2y0AKYuTZJHcopAJeWdIC +TTqQl7k9o7QMfI7wXqBOzL8NIKgb6Wea40NnoHA5e7CILXy5ykAuC2ziL5IHE2ehvQaafImMQbay +8Ckl0iiznAdvYe8/ISldNLLJsyWZPDa4HKemWFHRSncn2n+p1BCLATT/nR8Zlrb4HEx+x3+/26yB +WMq036h0BxoMTOOu67ubXCWap42vZzOu7QlMwAj5r6+3IymOSujw/gnlwqSnlaThtmN72MYRH6bT +SrF5A82j7Dkt9jtf5hF8iaMPveFGVu7R/coXr59TxRZUnB2eZW0Ej43L9QhRk69P62oAZLLmcu6p +SURIzPaY20j+ajJ3+BEauLemaSneXgkbpG4XUeDfGc4pu5JQrbQT3lo3teVSHX0aVKbygieO3wac +iFGML3vogZFXtezvA93LwOMbECEh8UFkQ26mkYK0ZKBSIxRNLPkq/1FUXECa8A7ey8GlBksNHvNR +vCoNpfN53lCdORpVnn0FopazBtbgM8U1OoLUrNUgiW89UBEWkFVCPzkazu8FKKOdFXwywAqQYdl6 +hvq9zDV5YnhD6fosvcLlZW9KyUIoZFK3x/EgMGpHBz0T+N2kAuo38sKZccmCaYjjNZE7KmY3JbwB +A8Z0DCAtvpgyVQYH59gcA9XDMkj3OOs1HGj9EPesb0HX0iuomcA757ii9jt+RTOddL7SxCCvWzJk +P7cbJk1zHeadmnilR287xtuK4tPMt1A04c59vv+xsPf5iTx8ZdioZggLy3YnOy+3XDmE95nZHo4x +b9w/NIoN2am+P5ronCiDzEt2I359obQnvC4uU3Oq+7qRI8yIm8VFUw/tDSrx/aQgZKvx89p5LCME +A9j3KXI4QR89bND6T5MlANH0Y4N0yA42zGimerflavMMcUrRU+4oXjBOB9Bft8WmNzGMwyNMtwTA +MwD5kcmHi32IFoMVsXDNzwS4C95l7DQwNQDtDw1Q+d28U9Ih9D+eJp0I5mwUB23irnHA/JZy44pq +3/BbhPluavfrwhedlAXilWerAAKLQIwrP9Ou9s05d5b1+JLeMVnspQfkLypM5EZkasswbMs5+ipn +hD2e75VuNZYQEV2xdKP+Hp6W3cMorkzmUyd+BT3IJ8h6yaH3A/egv8Xqv4O5ltyHoLGtBhEq6Bcn +gJEcGhiH+APlZTZ0g9RPF0EmajeM59RiOrBr+AZ5SND2m98eU4SMqsDV8QLz0N3+nTayybTD5wgc +wEM+wdi223u7kyC+4Mm7xUgEI1oFbj6rcFWU/Yw3+0wUA68HyWtuiXp1592xIN7DzftsoEaq6Ykq +rpva7vWxKXsqqSD9ss/S8o2SyrK1RAM864+wQcdC/l+Pj2yzWnOSpA3w6495YLzU//LpHzgNvRHH +wqfI2UkRweqGcRX7sTha74meLtMWtFF6czXP8qyubenlFb6RUui3QkT5A8zsEAZsBmrxj9n+kVFf +87BbxohPSCwLTuTKbVZmccCI4+O14EIgvG3whsyLHf2DozupR5sVMZjMZL4ct9RiM1RcgjURaFqs +Y5E+BK8DZWQDlinqtA2B4QMEl1HsPIsYfPj8TExirgbWg2zsm1vls+2jk/qSJ5NLuMOLiHbkuZ7c +kli92KMrDwdyJp9VdMLecccIJN2jph/djQ4ghzDdf9cZxq7XMSoAECaULjmim77hbgaqfLPtq9mp +J749YM0Q7jqu7C6jcP53Jq6jOaWVpY24dYWJdptJAqULL6LB8YzUqFg/8sAMc5B2fYWSYM5Hkyck +cIERV5zoyl7TPcxPMp5E1d2zUxD8A8TiD2TkRdH9CAkkEj0gCe+YrdTFuPJ7Xg/2VFFIiidOvQc3 +yDhqlJXi96YMkBQvOuHY3VgrV6vWxgR3LGichWGs0Rw0OL8fHG/TUNWDM6do2dsKN9nEoEb16abo +2MkkdxtfR4XHKzl2y3i8T+oOryukWa7l3B8mzH/IIWRN9lzXFSI69UOk0PPpbslYnM+qgpQUT+jI +tamt2TLsmlIAEEQbe3YF+zxY/Vw1SSlvBQ5bLxwR1pjiIhCsQItdg+bxC+crg9N1suW8wS4crg3/ +eQ4iSRLQJcO0kKjf4mfcLIEJ87C1KNolEbmYOrg4vllT4WtiqGVPv1v/s+cEkbC84JDENU2Unqse +RdOkWltVyjP+HkZM3KNgdAqDgFTRRyNwOlPmg56mhXUfyPHyO6HIGmAQwAjCzNS5c424Qnqh7jvJ +wI+ar1YCbGdIwBRp73q0WTLrh7gHWYAv8nNcelkVxC/wo98zOYFDu/2Cd4KsBezCy0KxaV5slV1M +7q/7MfQ1eSIRlXFL7OWzw0RciPfVilYJnBXOwkgxFkXpKiHlgChMZa2JwKxXzPvXvWq6SqlkNPpc +3hLHY8p7/85VIuP0lk3wUCk2Oqa+tUqzJiZ2jeXhICO+hTqvZd+4OMhiSyndWDJ30adsxga7VYHS +2DGzcZ61bdhal8s0wnBCk9OfnnEoAY5motYkPlw2MNKa4je/Y6s0y+nAnnGEYpuUS4KNuKqOtVd4 +ueSBMNJIYgSsn4/795JZODR1ONjEa20a4zEvzuUEka5rFJhsJlZGsqL8vo0ltALzt+6nNZ27TOKi +TIT+DF5G8QJhDtafNneoaP6f5NKerUEdLsSFY/7wW6waI0ql87X5sq38r3fTYhfWUNNrk83Rh2kS +A0oBOkQAlvwNkqXFTVEylmrMBLyoNMiZ8N7NCxLhFb/XGaKOTWDOiKkfGYZfvq5dbah8Q2Yr2OEv +Fk+PsupJMSAi1I/0jvE56AQ0pJe15hpurJjOOYbFgAh6/AnwQuYH7dfCFNzNTIYR+wDRtU8D57lx +3JAO3zJsVHYsO+8upamC5gSWeIrZRaTDzZph8ypxMO/CH4+Sp9VGL9MDtBe+2s66D2VIBAly99wh +Kg6/KCkLG5zCpwcEZI61pf2vZTKUh4eKqzz2p/wA9fltc0vYfUpl6I7YOdAcDUdljJPvTscporvb +GPmR4SxNDjFc3uw6UVFDI76IhN2pOIJWAjmcTCh8rv0sUsBMu1I2IQ6zYMBaXpDZ8I1QgrYi+z0S +zniYFV+CUi/Pq0W30a8mKZwPF0k3p77W1qKJls6PiLsz3nDfpvE91TUccElI3XI9vT9L1Nyvp4Ya +eIA7S42mbnmkkR9Kb900OZs0SQhIRwdGvrO8AoCbgd7ZCEs5/IgG/RIkQgHsgpcMMxZhdQRC+79V +Tf6DyWDCDXxCgSdZV1vvGb11wkeG/dV3+lh17EQouNLQULuvrgFtgs05kAZfTIVsvkwgeOt3zUQH +hZ2hvyi3qF0OYsD2bmA+dMRwT2q4T5uAjjYqpn+GcUD8GJ6k96pQDA4oveVcIGrbOPvrDVlUonCw +jtf9IqzBerWVyq/FGHeVSh7edgvJeEP3Lr700KKjaslrA8xCqGI6FmMTfdfmlhSzUMs0eN9XouKo +WQ8WOAy0aNwt5cNLMPr1r54f5ATcvc8kbzp8b658vgrPmAcPgOJT9TgH2y6qeO90PZS/86osRplQ +90VuX4szEMLxLsINTWl4K/e7A4Cmq01h49ISD2z62SsFizKpc0lR3b2TiGKZKk4TWMfrcqgmu9oG +9kHYi17hAFLaFucpZfTuB2AvYGn4Odw5WZAsSRYpCkaSgcHDFAxdyoMqqwHKhRDZLqSG/SM6c4i1 +NmVtEhz5zJzWTcDD7fd1HpuM9GJ4GpUUPYD4jjC3NoTW4aOWM2wtKHfecM7lY3P5/mzJbWZLLQv7 +XYAf8MW/SGZ2mmVRXmupadE6uUTZ/DdlNQM7ggbhPXbxH6uwp+MhkgCEcaMPWJFaJasngKlxSbmb +IKteNc0w2oNLIN6kdqEpP/F4iC5qfExiQ8f8pKf19aZGPfGf5mPUnxOli9OHWQk3+FAlySFMC5ZD +HNzzu7o7X4fb0jtbLXjonAgET33EColOAC490nc4TC41N+cGDZANd257nEz/p5nUt+STmdGGxPqI +gRzbPe5eNyJubQisyUBYy3938QO/JF0r1y3qQeoGiwjsKvnNjuRZMV5bweNok+7N/Pz/C9E8G1W9 +ReiLezl9AqO5QNBNVhJNIp0HwNZS0BHKgmhF6e0DTM9ogosPkEYjbLpCV1W/1La3JtTZTvkAl5yn +yMoSDGNKAL6DuAoGz4O4UBpFtfCpD+Cspq55LIrbh71TlZ1dwt9fPT5PaaXZFYfi1Od/KcceH6fd +B1Bxrl4Jljc3YCiabJSZsECBW1bAeUpe5ewkKPP7civXvmEk4/W2f3DJa1+0RNVezA9l3dIkKQMO +1njo+CyxngqaJoMdOWD23n8X20UAKYgi91TQ3LdOrDlkC+ccYpkILRcRlTmI2r2UK67rUcCARg6S +M8rHd+IT5aE/BoKRdZVrLk9/oISZnAudBPtNoR8TZJvIKLPDvctYkzfD2DJH5w9oo5Lkj+ZpBwDX +PPjfkxZ8hp0d/ORWjO4bGzlvP43itYxGVCPxBoiIT7RJz5InFniKLuLo56tz0WRkmldnMD/9IBWm +acJKnJMAmhTC5vNytWw3aUxRNzn7W0S1ZcPJWNVPfquerIvu6xJCXjVE38nYU2+WEx0NrjubdwWl +F60sUC5cxUEKBM4ujgls1Nc5HhTkBtfPOUk8knRtnEFsccdUSnohWpJTx9a7J+I+nIep4ZM4AQNt +8GYN6FnIcHVAPbp5Xt/DU6nzqPbZqW1SopLPujqCDrrSQ9gMx27rKE7RuhQVE0AIckSi/oaV2NCO +s56M19dZS0T6ZBLeKKGinj5YmWWtsPMV7uu7Y90GTOoxxVWWLl6QW2bEO7rzJDZnFuNOKb7ud9Xp +bk6zkX5JRd6b4zwiU0ts4FAYd4/+KhLS1JKR4bQks7lItz6kIpIoiN/5iH+H0KRORXzCZVvOKZdS +tDXMIv1ppaWLZOIMuvVuBt1TJtZ1gHvlT74seYMiPA+8d2SDXqcZW0RV69DNK+S44yFIOH0M+x/R +nUk3G71zUeSCzbBkVyIXBPc42WHOipsoL5DxeAz1+MiDS133pIqaV9CobHtmdsPPZmRq5bD1uiKI +lguEydWZsUZQTAWPK1JI5o9Ponbejluk64uu/wiY9LgBBVOkBkLdPA6E8uSS1GoNStgcGkEYfbBo +qFN0S5NWMCaNncAKWTUdI1SDZMgqycVVxk6P8GDNlp2WbA/6xTyOpGlW2tFqwnfWIqdXuTZVGZY3 +HpD9S3e340uOj1tq7kjfT6hPgOJyRPosZJJ8S+t6/P6QOB4yfPGpBGOITy+E/TkzdI7rcgVQxyko +UyBIi8DlzL4UtVd2TnmdOLB4pe1M7ktDxQDztD9QAAXNdgkP0uXIUwGXNLJ/7HIqTrsCvE9D0ElK +Rmh+Nl73VMabtfWaSasSkhk61igSkdZ6+nyp4oLcrOgh84Qa3MuQ6EGI6djJpypfT/CpvgqCqD2b +iTYpVXIcwwkLvLKal5CTAlWWi9PgNKxWH/l1Ucs9K8Q5JRevxfqh1nC7J3a8VDSGUwztoYHoh3ul +ULMoxBHzDNZkr5nTBB8qRlMmJDWckcuGFl+vYOw0ahgi12+wtR1s62rmqIBVMARgMefTIDTGNdOY +7ma9/++0KNNeWOtLByX66ovuWv70X8mpsKRCpCJ5OtVMfBC/xRKDYZ7tSmkG2xgvQj1sHaiN5X2l +27ZuhEXCjMzDol8kEC5ENx1kZUeF9bJQzpiibDOHhuSmwAeVJlx16DBRXLMfTHQMfKkZnB4S9z6Y +gRrBo6kOi4E6JaZb2y93LHlnm1rZOqT8tmHsFSff4i1mVKDsYt/L7xmXpdgWWA5VMnHLeoDqwxjE +90oo4XsGMACsMdzKVU3rWkTY+/PrvNQCj8/1BszGh9oFReyxf6h+vI1di31BuEyGY/gVZ8ZqRoAP +/2H64BdJ1RuL58DfML/28TqK8DcuAcou5hXDROllivJPqXiyHULOF1H8Qfw97xn7YStUSqRZ2B0m +vTBdKdxCleGDuNh/7WTGtMZNQsaY0FPRyZMy45ZYmEa7SdCUZRd25j5jDD/hsaDrrSJ97rwfq1Hb +GvP6hTouX1EhXWOmzAFGYQMJNtbSKfrzAUIM15frw4Mkzmb+mT5uO7H2pcExBvy+THIa8/ofELNq +U2R5ifluPIelC1STvhsyX4f+4SjW4Q3ZiUkkCtxHRGE4HXZ/6j8jF8SFwpeR2cv5+j/rrAOkwXDw +nx3OZgd55CbsnD4JTXJiPQS/9SeZDTBkpc8GZ1NUPCmKKFHyjyfltxCSHT/p2NEcepRlWjGG+E8w +BROgUqD3DrLaBuPk9kfjTt+sHXrbEP+O3ZIR6CO7b9Vc2srO8+/WK+8z8goDGw0yZ+K66JKUJ4ao +L8k0qWaJEPjzeea4koK2OM4F9y83YNnDs+Uzg3CX+BG2TR+gZm+t/KbaYAKJIsregc7HkwK0hTU1 +eZg+1LbOGFhoOljGO/Du6kyyyHAzC9SdjZDJk5ONm+Bs7kASSqZHeI5Rn+2j1KA6m66jjQWNyLEJ +gyBnOvm4xsLK64bHHp/GCU2bAHh3gMc41awGnD90O6ElbKgIpYu4NLYt8XUYZUgY0XbOsDV0X9Ph +OxCcfENanc9t11W2eOZ71x9Dp5CBhPD7TkzMmhbdjhfXZ7DMMZoTAWZnOTiGMrc0n1quU7lwb0G7 +S6iDmzMVAq4oQTSAcwVnnvBy/NwzVOvJSGYNSHtnvPo5BmWrpROra83R9kYBZY+TVMsSYop9skSW +ypTWU1POZ4627EZKK+4UCUzpOMyiXe4B+W0zRjR/N2HVaUfWC5XEddcPIeF+A7uiZvxCg4va9hLG +GHTVMiPdTA8Q28yETKRVI5l77MNRlCUtk3KvUr+D8+IIeddSZ8Yr2oY9h2G/vYwoi0OaSw1H/gD+ +OJPNrdl8CpavgKZqEKibgLABnPo6+e5/qxVcP2XyzZNoIWnaDM5f9yNf/0MoistsQWC9Jp9O+x4S +pClFGtdC3vix/FPhuoVPIQj+jJzuQzd2/zpj/RsnipwHuUGcXUoheqEiwlJ9rLU6aDv1LItPIwYN +1GjS5NtHT5Hc8YkelLPi92MsfYKXgzD5X0B9M8DO0nEwA1PobmOlNfXVsHwkCaAgo59UB2fY82GJ +s6m1NucZIjkme3ltubIUQZDkk+Pn/5VkGlKIh2I41fEbEu5AUVnzqiPoxj7RtXI0PkcEeUnMoPyq +FgjeeFvo5C6XJ1ffoX6dXCdy3Lt+mPxroz8LcZ4WEQKmq4Od/oO7nunZdBHCa83EUJeWG+TuEhrX +neTs8PTOPYonmDlU5W6tyUwSBu9pYAQ4vL5WZf6kMWOxcWveGRonqbG62Qf5jd9csbxPmyja9y9p +7LKTcRo5CcH9hNA/Af3/qDQXOiL4RUVZJbtZJNL/VeCXzkbM4kK5TRmV1gROdrqw/GvmIUTaiFJe +LBpMI1q8gi0xV9EEUzba1ASvGiP7632ClRWKHJzcrhdV21EtbHwU8aB9SyTaY9hLvgszz5aMCMXM +rkH7Pg+bQG6CDHdiDaOFD044EcHlrqN63uMwb+VFgECSz3Ux4tdnPlvu53A0Hydz0StbBy30smva +XbRhQasOH3pHGyy5g2ls20xgQlxK4RF8lJTwrppnIYUjl1+lTd2xYQhvKb5Y86XpOWtgrvjUJE+J +Exs0EnCRROyKd/TEQ7J7j8zNI3Rdt7vDBZTxEnc/k2CPksGJCEPgtKaeRcrL+28QxeBrfeHe1/4m +bM2Ha1GAJqTPJJl0o115ON6mwiNv83+KnCG3JErFoJXnilIs1J9dcggA6x9//Ua7eAFFEsDxK+72 +jKdxi0xv8+V1W/7VcQPoNF79/3tGGL6xZYfOBEydiSGiXwucSSaeyR1rJrxuIAY4qhCRCZT0IDu3 +1fQYMMEjk+lCjKF3VJpaSgWJdfcEMFlkiXCI+f6xQv/riHBxYumAZBbJ3CuR85O+5L/LueRsqhHl +7puwxfZlTQGvXNyedeiKLcjfpgNgmNmYKKEG+Y/lfMEitCV74W34oR2jvoxWHNzYZWpPvVH7sXYb +hJLK0DX7i5QndtY4oqiGGodbNtgNf0O4+qHUzSdr7cjeE4YcNvBlgexb+zlVF+F1cBwUhLubrjCk +n5MtDL5PMk57lkr6WCUQPd5SgVGajZK1eLxz1P+cue7/ILarriiFf1mzG3jAWXcy/Ch0ULni5+8h +BZKSJgjlhxLL+hZihFnu8YyX27gc8JTDOn6kaiZqDYgxzTqhu4Fu4y9TL13yirmF7ILfyjogtOWl +FCj4B3WOiNlkNvvv83h91P/7uSxgLqDKr81Ip0WUAfeplj53ZTO0M2qoerr8/R/9ugfe0rQqeBox +idrZrWCaQQj2jLK/pF/cnvHuvqHgE9y2LjtDm4ipxdsxe5U13QPegPslkVTGTFmqr5yXiCmYXHGx +0deOgmDMmdC8Akp3GUr6vQBA8+eYYB3bBxyPSeVGK5QwZqtsX7qAJt3ruEimomdIcLESZ1SbTSRw +bdFIfRsYsi5m9qbRfz+bzrsyhZgLPxt4jwedS96eHk2NbpoDy1k5Br9xZSlodH5A9T61YeLfm++E +PlqpZ/4Ctm9AWxPKc498VZwhvNq22/i09hyvvMokW3r6vBmAGL0pKnvmHEjGxpbDrRz+8jA9CYt1 +w6jSikSHaUUj1S/meSWYrHdo6RmaNgdJ2tA3Fmxq/x8Tta75U8IWL9+BovBZrtdFcVCCrcM1nmok +SGJcF52I1D8ZAAmEcXB5oONieqle8+VEJnlN6BbIerad2SurK1nUCkXMVUCvruTHzXmgegVNyHro +27K9OfOrSV8G+gu4JQKrx9goOdsD2nYETyyg/qKcImbwrgjI8sOkSHnwHWdCGSmHNj8F6wjNBd2w +ONZ+gBZSJjgaQ/H5taZ6DiWw/rGsmt5N5AwylYB+OcClCQ1JLR31h9YOhK63aesrphElWC9ZP1MY +GhGqLkWDyPk8jIS3xQaKBWk1/H9u3BSXSrBJpkvWCPNbGBFbdIziY7jGZ//pq/JVUJJ5cIrYfYlr +/dp0NEULE2J6Hf4t7gArG5TyvJIEPOCH0Ws24M9+UPQBYJUV1+WnRg7CElMPlNMCbfBPYIiEwBca +V90zUqJ1gGk3kUCNxG58VDrt146v/P/DzJKnFZGv/k1Xy/RpToE3SZSDJY3yjXkjs4wRByuzFhE/ +FKXZiP9ypFEHvxNn8D9MZb++lXYI4HvZBPhakFJ2BrjVM1AJjacBgIvI6eBDMgAOIwF+OaaEfjQt +3xoz4uTVgGVhms8umuhCCdfKdXECD8bh2wqC6HUJeJf+dpthmV+kanKwbNzj0ld1XTGw7q0NcUDO +CinFNomtx/w66BMwNAEcEees/Kg0mOvbq50Wj9Yf4E+1gANSPrvZ8LhH/yqwxGf3jVEQrNmMvy4f +qVTnGnZdz0jKb6P8uSpcPJN5+5UoeMTLQ1AUGLOW5GyzFTcmZ86/Rdzzc2i5s9CDesbWdDFOtuRC +I+0U90J6n24jBgqJ6O6F/+BUwSORzc/2dj/R3s1pczb1BtsYiCwmYyjkGPn1+JbMDc0Aoqs3E3ay +v6YJiPrho+MlI/Zs6CpTuHITzsoFXXyU4kUeUHilqvWmt/f3hJGhEey4KknrHtDgrU8EFpEawhF3 +LpDByA7+/zI4pn5BwSbd9poeYrzQ4yKyZssPWXbQuxil6ZB1Q1/0nWCqSFNrMDiHeQNnldos19Xt +YHRfVp3qMefQi9FprjwnWj2zyVudoTv3WySV98eqnkv/q6xMF8o0RvNmqQD684g4Q6iOG8Hc9Sg3 +Wdz18x0bQKVN6Ej1fpNa6aUoVv9L9mpGrXP/OampKOVGOGPovrD+4HekdelYhG3jkZ4KOzdHzFfZ +NmQWuN5Awu81q72ERBfB9O+w8RKsHGeZN1MjElJmHOwnpPGlsoNSMzaSGqh2ocFL/3Oh8rNL1GoM +X+h94EaCOMUWgZz6NlRV5D3R5ehpFnKmCUg9gBhvtEgUL6tuxnEo439n4yqA06SEvMNG36sJvgCW +RS2MnvFvM+ZxzqTM7j19teRQ2yGRAomEGn/atyuJ4Bcw1XwLp7ayXpSTA+WjgIHpYziYulHZO89c +fYUTq6FoY+L73KHU4jrFQSZqf+7R9vajQuZJD4MkTPkaIz8SLBINFHLqA/VNp2zUDc1M/yjiZHwz +lUYjIRgNjrHQ1QenbfA/Q8vjkEtgM5mj9cHXti2IMq5Ygx/ZS/Vad6R5dFMt7Zs0eaaSxySMu5rt +qJ8iAB/y0hf1b7J5zxFWuSkU4aUTn/9KTTocbkAbu8Yqab9aSHDfdj4zGFyOgeBKm8hYsCbBQh/v +1DIJOnlxNeJMtmXTR40sLteGBrisXPgZnaL3n1jFAEU1LeF3Jupy+6dcxiHHA0zbvD1WDh56cIWp +RWfA3Vv4hCE6CP0hVZ3qBppQUVfuWEkncho8fRt323aHKZbpuK7avwYdLJOw0LxTcQef3dF211bu +GFLJufVCRGAru52SC4NfsRM2q/KsYBtgZqMv5crernUiNabKS/8lH/rOnBhU53sJ31CHWEwUYNEf +BCtedMW0/dukD6CSxq85aTcBKCApWfJxpmd+yyo8aR4J1K0B1dlxoqBQe1IgBFMjbvE9Ww0Z9y2A +0kNdctvE6/4pnqDpnNqoOQqcWk5JqBcp/Z7gzxsR53U6R2xz8yxilSbXSwxWXIPbDL6BJ8AlkKHY +h2y4GRuA0c48LpKN1gfIiCEFziaRaseqUXrVdnv36pDjkgF8gZipyM0CjpHnPR22YQ8lRUnc+qQq +QklVUUInUoMYf9nbxa4A0iiQ0A/tIHOcbwPS8MNNINUiyWIfSX5UJN2RCpZLoOIbX+1xMUIJtJHm +9LWlDuchc7cV8Ba76uXaPtSP+TuMUI0yMe1yrhdkfDyh4UDanTDq6V/iDmdZ+wffzYaMWLLFY9Xo +zolEUzgmMkPsc0TvXoOiF5Ir/Tq3n10H7npj3Lz8dfjVIIKbOnVLb+VQ1wR/GUE5AQuJvTEx/MT6 +cWQJN3u5thNJiR5dXOm0LHwyroKbLXu51R0JgEpyRwYR8upNj2AZqWjomEdXqASaoyZwgsYna4HX +rc9+QX3Z/+8zVGUTaZIgwsbw1ccWdwhNMrdBGJelsnGtYzdPlNXE3kk3suG05Kul+Otu1dQRFCKj +JS6yehTKTFrk4uL2K87+7y9pu25TwwexkFVJFMVoRvKvTmj0hmAEqa1htQrSua38N4PBWbBSRAHj +O92Xywf1ffEB4DFbe2FAnRcPpGq/rBXciJfMF8G1eeILKuQPnQRTd1zJW7e5HdPVqSaXJf0LaaSg +SLxtqTlnt5Y9qnuY8ZY9d32Up5qAQsvtl3cXAUaOqxNDrVL02F9UoplIEZik4qnqYF91xRq+UVJL +edmuEx41J0bU2YvKZ8dB/Dhx75b5Uufi6Sgb2t5GyhP5FIDvQ0CP2PSCMmvYyzn5Zlb+K+JESqPv +XtNUmpcuXvVR2Lbew3OBxggGPag5XfZuBWVnECfahVCRUkgvD78+waIHzyHQuDa9XdpEvY8kdbmA +zx3DsDooxPSk318ITLO/c8yb+EqwjTRb35Yago9fA6qbpLl/ejzpqEzEtBytxzcHstyi/usEsq9B +MZC6/7xI1K24kNUPe8TPdi7CbH5C7vcnA4HphKbA5bhbvwYO0vOE7dv3mTBJX+x5xyr2M4hEFONL +LWDcFnm4y6PJxmZcD/BJMtLqm0V7g9Vaan+TG7rLfy3xfSs9hPRcDIE0wyt/HhnyGtU00xmVoTod +9WNzQQsMCuW77eZoHWsfiA5DoCa1pRfmY0wOAFQleFQ/yWyNWqs+Imv4arSXz/Gh6RW3l6XlX+13 +YAhoE35XCWs2rWjne1NmJd/+UwyDAZm7sdLnzQrVTDEmZcc1ae4+yOhVdzPNn2+iM56i+Ebbn/IR +DmEPq/ULyuAKrUrzM2/0pqZknwN9QXax8lngg/BYobZ9Q3gq+kD/CYQIX8ANLr5gKb6cZHMTUEZI +EjLfanXOgHZ82UfVLQm2UtAu5QrrK5NAjUTbvX5Jx2jQuF5pvlDfuEENVhEgd5aM3+15VAKLDqIy +JpF7whqO21Ia4rt9s6t/WdkdU4MpkHjCIShRk61pCvYLWndP+BoAusrxLqYzqtRvImmwM/iF+SNz +Daabodwr0I18V98N4356amQemhuPvR7eZts7NSHAKUIOwS6uDbS7n+1qfxysLVcjb+lSVa8RtfWI +0AgFvsM4RZ4mCDRzbCvg8zV4bBJprgzS4Q1qU++t4yNpWV81PgooXwFQURMUNbCoWYUrxrSDYKux ++5MOYeyF1Aqm1cvscH5MrB9Cy52FmOeLXupQvk9AG31GL0m54gbKKAW1Sqm27BAIq/hi5quHhXnt +1MHnIaath2gaMZNVONQSsBHV2FYxlU3w2sZdUQF3T18zZRZsPeBKgX5AGWjA1+CUhMHTwEz+hkLw +E0/LSl+JWO4CRjLDmZFhjMxsDhY+QY6t8VHHRBWGne9SloIb1xZ5SVuW1tZEzKyiJtsf04h/+x49 +/8kd0sYssHTY92VGp6BhjuA6GPrh3J9HKVNbm6dyh8GnC91KpEzhIkAb+8Uac5EOdoi+NGPoxjsz +BQOVJKgoRm8nnW/zd6iWkNhiWSWLFi7CpULC+azbWQI2DctkK3o7YeblSwUSrr1KfseT4w1NyH71 +eBfvklhEiCAP6LnQVX+o52JsZGsqgy1Gip3KAfSTtubotVuvOE8Y7DM8615LfsDhggiDU4QtuSbx +YydGzBNADU0wwUgf2jIi3mog3V0+trG6buavo0ZHzuCgvAQQPNqJTEHvN181vctfu5a8Q/HTAlu2 +Oeo/d5FuqEiLGY6pA6EHK35yweTzrxF2vuWHFtTtMbUUPxCuEn+edSxyGAaH6fwYSnswGlY+CSoB +iw9RRn0Fg0LGjQx0Z56iBU02+ZE8z8d0LzMCuUlCsfUArYVgcj0AT8zsUYNqgBbCAbXwG7VLDzf3 +Z02sNSv1rqXFUea2gJqXDY9CZnt0IRTx8M1tIbzaDndhFThuGWcPCghvI8lSKTaMYWeJVg0g+YTy +g6qWKzmxMqHuQ7QydTxVBGuZmJnMgC0OaYHwr7/W+RhLY+AE3T3o0JfiXXl0kWf/JcyAPsTUmnMD +N9OjI7KbIE+uIk2hsCGYgPtLb0SkT7cJJIqPk+hoD6HDIvl+k/ZhMiTq1SnK3/YyGuUq9afx6/1q +ephb5mYWPkrgzAoM6PQ3EWLHOls8YWFRFO1F2rBvE9zhpPaXqGjVottZfP7bTBH4uWFyroUktFhO +14jaswgw+MVUFaOasCvolhhtiK9vuuHl7B87lVcdfkGXLgdamK6fGw7Nh7SL3KNbMUyQiYy1e8wR +MtX4ETUogEFmq9RQZUR+D00Ge+0fIylhDRSXYYqT+CZVRz2kAiodGa6yrfCmk1WdUBXUzSgJ4i9Y +qZHco0Fn6UTIbDpMXu1yWe8u6UtynXyn9w7j9kdRJQ6B+texqN+G8tfSu6J5QATVh0Dz/cu2TPJC +fMVYiQMUxsub2+xe81OJUzJoDNfksbv94l2ey0z5Yzz0LIiEOcL0w6vHrU1Y/tkrbqHKBTDDq0vY +3Q/eZ2vVEgl7zQi16ltRyG/U9Um3BZ1iUYKLQzWo50tPePbJ2FbaDolRHW8GUMrQ9DamTk2DkYJD +T+6GwPBWRwNl4WmvAkCuMrYj0PbKtrQqEFzVki4N384oplLL1FBJw1zN6VssaxsJoVJOHZefXGN+ +3f0+HxTZTRVAZcqvNqs1JiYOJuKw9Q90HrXEuVtsLfCvYE9c2eVit7wMYV5ItZKNkh6Y39P0Di4f +TAy6b67qe3Sd9SZO2phcpXSWuUnBPErXq3T02SH4RJRXyctu2eEDW8FSKfZNIo5tVIRkahxu5PLU +HslffNpI/ERrff0YfpUczndrguColBlCfPEevyICgvFenw2Nwvvzh+y99DQUU4qGJEjWtA4jPmDd +pjmS7wZycPTvUBc8VqPP6Mp2YKHQa3yVM7BbCe4kud/oroKIhs7XDaLlzBgfwXzy/2ZKviO8o29i +BrXY0T2T2u394xkh3yjSvpOmyAsLAhdSeEfgtP6IcvvtFfAML9QLmoBpTR4hlWmlOVhoKKSwPdR9 +z9+EkMEMVt6eZxs5KLFX7U8HOv+zgnHXijgbzyiHf62zHye5poYszVXGsmoJpH6Am4NpMBJY31bz +HDYnoY775cI6WpixwNRItcyCNYm1QXiPOrZKPD/onGgkr4c+vL/nu+2IJmjH1QORGfqdN6hX2gpW +OZuYCEKgco5FerxOdWbjyMc8HUiso2BTNXo0RvsQpoK4B5Q3BV55dJZBd5sjSLb4s5MiUxNSBR1W +3YFKX9UT05ZEgSB666MjTSWGSUbeJT63GBbpK0PpAUVbf2egYQl9IZKl5mY7qqzPifKSq4PemIc7 +vO55WrbIKs8fOB0mVjH8OmrpuBt3TLUx63lFZYbFmtixdW81e2WZXB/FpUJSi3O4gS4EmoiM9bQb +1KMa3cgzJVqZDwNr51RIbpwFe372xAtAKq3oS1dLDp/UROL//Y9B0C6AoszXRSM86+1y6WiAlzNn +xtqC5McOAsZX4EvHF9GsEiZDb9SFmkOglR5Ax6ICw+PlqekG/GpIGT0IuRjRdcCG8hVcyKwK8mZX +N9hk4wEc8+CYQg+4uQiujpjTvptQKR6y2BNr91HKtJHNMZFMTtI2cqBxrI/T+z8MkXH8quyDi2hI +OthBC6JquOoPJUWrknTnolXblEySXZj0dR05e8B1IkVoC3hsUDhPXlbXz5JlZwnW0rX4uVkuaDVh +RvG08lPk4NzE/q8s5XrGYczJoKBNWUFhGbcoKpuRBlOPJJnvdO33ojMgblmbw2xjNDSvt7+dtMk5 +UBKaE6DGZtHqBrr09LFwCFJ6PrHLIIZ1wAv6L0YzLanD6R0bDI3n8dLIiShlNkAWuM2nr0bA4DTc +faRvi0fvsOBVGl/dbnAbsInxAFYhwinlqh48lWeeG8ORKxLaSFJrfwUE5x1G5vtjSbVfIQu4dZN0 +6hZP1He9AwGg4GIXXHjRwvrNhodRitx9husVRx/bhoXQ5+XnchOHGDz56g3MO3GXXvzUW28MRNff +6xZ6252bOjnAB95CSNTSd7eVTlZmvbMDaNWPjJIqeKdxQylZXPeap1959SkYop6aCHAqSD7/IDVT +8prarQK7RPIi//2BBnRwPEPza/v7lgecNggDiWw1IyvDSIiqCsfKF1uvddiwh/DDhOG/potGZo3b +aPrThSdrtk2xr8eKEkE4zt3d/IK/XlYKVLGb9cZCzi/nc0F9dRwMEnd1gduFc2eawFBRlNqlaD4k +1Ym7N7tqmZGILXcUq6PysC89vbVT2/nnXyEwlw68v/v+lwz3lvvsTbbuBv9W1C2YNAJtg6E8Oy1D +S2/bmIvw9c+Qk613BqpuG7usZopdmg2OPZ2p5R/YSx7ONy66pZ+wXXDoga+MXdJNNCB/KW6YHMrp +JorVbS3cmdeLtSwWY6VcCEKJ1e9iC4JbIAxpIxn3EVAe5Dn/XJoQOs0fdCGhwE9INWs6qH2Hmm/o +4x5K9tp7khkVp9kvL2K9EtgyE51dcJndOuSvIG4m41A1LRg3nYuSoC1Qc6fOiG/9lGRevjMxg8h5 +PRFXADb7RmKMxIH73QXcGJN8bZlKNJ3htPsm01+5SeyQyzBhmumRj/mE0bzyvjxn1pAR228PkOzn +Gp2IJc2zOfmAmUlEWZG1xvf09p1pm2mPW4gL7GlesIytnVtUWWM671ZAQOdE/ZbclsR1AIUCz8so +mDJzcmUp99y8Xn0lfSiUsDXkkckyzJ8G+0PsDH384gGjEsa9BncWjuKc5LWS8bp2JFz9DYc4ICfM +Dqapt1EN0BWICy7tpO1uR69o0fTcuqsUMdkYENBA7CXYV3J53KMSqNFzX5MBGM7K/Po5iHcPX8+X +0Mmft7GR+ym9HkicujkDkxPVCPFOr5NFI11wiSzKz/eJ/n1Sb9x/szVr8jipUoPek/coPfGYb5dC +5V/Gz09UGL6qMtxR5GvzU7hZiVcFMfgOI4HBYBUyq8hbTuD3aCcHbc92dPSCGYe86qsysRNa5jYu +HT4+O83b8yBIl5GlAPcelNoA6Fg5ZSv5YO5SdAbhocqcRKj4XXqHkcVNxDrdRUC6GEFVL4UN5DX/ +noU5dtjFhBMftNWzEPcZLMZyIhq2VDVDWVbfKetpFaMJ8aMvMhG4eiklsR/0vwYOikn9Dw/PFAUV +DoHCMwcvh/ALQEYL/gJzipxtH8DRgGB8tk3HDQiphG4fKqt7nbXuhtIDyhV+T3Cpg4ceCIukf4fT +bTFTmRQ0lneUFPVSeP+oLeOwCqborH99kjLBeoSOvavj3VPQzi4+/Zt+M0ye7s4Gp/7hwD/9KdYZ +yn/8M3X1b0gi1OXaLIPzUslt7dZ/v6zTpA5bm+CDWwo/Tf27STLG9HC3x08KOXpCvyMRhW4gdQDj +3+4NA/ypdi55FppxfxxJyYRIwtWMURsjU5dfDmXgVoa973BeDC/1Y+xms5zZhMubxtNU69vK+hnP +01PGQHD6cvDZBWgMllC4dFPaQDM4kiNiLUfnVuuAVKr6soW8/cfDxBNFPRvBeAS9uAk9Is5N+DZe +0kvJO2I31QTDxETY67knHh7QtHitbWJJcdRZnVWGGCDLPTsFsaVTFNlaciRj85u8EqDtcnR/7fFV +AhNeNWUZkPMnHfwhEvtB04bIPvHmBsVkSSAqxuc+Kje4vWI27GGQvhA8DAiOhJMYpgn2dhT5pDkM +B8ae/rN3+KbYLDFhEFnRhqizkL+p6L8lmyirLR11+VNMn1lHJssbrLK8jJvzGInYQXCCdoeaszo2 +7HWRiV/ajT7rQZuNdqe5/au9b0+pgNzKumDh1usuhp9mpYbXFYp5G1hB7MOoH/NjlCGJOqZsZe4b +oP/6Cjvzyux1UuYsA8vWJzLx/g+SAFaPVcEham6oQzfWVFRNT1Ix9dBVagmoKRjjCKggpNHHavvH +MDckHza/rUYB5PpZHrfb2hBZ9KqI4AJ01w/OFw/feAvbqwVxyPYd7/11sniIqqYn3XASUV30JtiG +RDwilrucdKr2YbLBXvf699/Tlk4C0FhtEjXjYQeKAjyUrp+F5GW+jhgkgf+j60ojDTLWtWrtcXB6 +6C5/I3CL/jd9zlCl29XZS+AY16WhQ+Q9V1jxPbE3Py+twXS9lpIRC01K9Sb8UwJSusWuK2P8MKkg +B6+oHB1ywh6EPHsTYF0X5n7xvJkqPAk1p+I3qPvSS+HGQu7mSfTtVrSP8/OQAaLYlfasgX3ihUuL +4DCXCzUU2q5qrzL8///W5yvMnc/YSTkm6g2GHTy+FtJSQDrgvJtQV2OIjBsI6qQof/YLkT0j1BeB +czukR8bYONBSOxdjcSksXD6HWtu+NKB3wDl8yh+E2f0AyWkSq0+E4/7GP9LgVp+hVzHp+ziAVzKE +xZpPvwO7KQMn/QDxcSKkVzUJqp30eyTMgL8QfrlUuFB2nKWx5WIIhBSsSR6KlxMMeo7i0cWyXZ75 +R0wn9qHG7OVtQEMruQX5ZLFasEhit08+XA/CchU/cjfE/nsOGZERviNdM9nmfGNpUY/xS49KiWwx +wVjiBn2KAJQ+bFSEitiiLa4dMsSpXrO0c7DPQvFhK9Kgf8Urkr8SZS7QJ6JdPdRjxBcRmcwySHx3 +uNkZbVfMe5vBZmnwEJSvZZUOkuGQFiDmF0ICVTkTQrKwRW4YkYcysJxYVl6ckROvBz7h5QlIIvLS +nmFqVrZXy/WDOlX9P37zeyfx6lnew8ijuAju68iAbVgy9XSAbrx8lM7YyJOgVlXkwJX8K7G5oULp +9q2CG8D8aEaeNf+orXX9sXr+2iuJDHG+St8u6/7Q7wdDXcIpMOIVtdTWT2sTzbJwmciw+tUGgYcg +6FeWNn+Q1w0iRvbvfj/lxUbgEUxCCUIPP7ewPu0y9vepB0qW772PIrGr2KLot+1i6sibt7AvQue6 +RqbBWYC9XIWaiF+DODbOL+0HsFLX9PpRfUZnBVPraY43DoC311LOtvWrj3EEX1w0OcHTCVduqEfO +1Sy/R1ActrrIU2lp4hAamqxW3ZwU5d8I1oXj0oZ3WbsbwCAenvoinJj4SwRT4LaAO03UVoR5Bhcw +1K/GksUEOQhf3DvyWkKW3IUjr0nUb5ZBpzmy+wtwDNK32s7vGo1C1RFi52zOkU/L8kCHDw3pMh6S +ThfFT1AK6bwwE7arbHQPcYXJ5EVYmZiuS0+TSm6K7iwfFFiQa1XTsoZx5lhyFdVmwzDuKB14kXcq +HvyeYPdtVjmRR2zQnucT/jkZwFjyfONwPX0ISv8pZrnl3U9j9Us+l8KkOrjrXiQfrSJ+xPjx/xsI +IL+1hKkNZCOIg3bDYaxo/EhdFjGZYiQpYUNOAgF3GYj4LjDS2Y/4oaDJ2Sm9qT8dLGOAP5wfUXRY +GnTRSXeI/2S0Aqdh/BkD6EeNZxwkyUpOVhT6Im9N28o7mF0MIMrvStPnz/5FKqAbR9eouq8sO0pY +7oRYW16U0N4U/KOWTUWlncb4NkOULi+hPrVInjsYzCTurDHyJvcZUqCm5NOR0xooBwFp7vhoLiY5 +yU6+OsZOZhttEUg7XhaU00kDBB8XYzdAC+7eQ7GykFD0FC9Mz4mWxQp2ehFiYgNBKx+aa4OgsHTi +BEczSO3SPdX1xCyGYVqUSZTEoSQJMgd4aBtsoHQsYTL51aYu6/Iz/2O0jqqH0IhukDNdPmiqHiUt +LOg8pvZPf8r/s5gcgQk9aKxPZO1RSznmJtCRzOg6hxYo4lwzR4pRaAZEKe9ep5gMOPbR++P6T2Wh +SK5ZS9XH3uIQMLSYDYeDaUt/l9hwjqp52D1bJnbisXUADrphXsrLF5iwL2QMJcx9C6I5/fYr5+XA +fb5Ys44AmwyXcvetSAJRYfinYJQwmP4BF78pyBS45YAfHnRqopB6I4FSf4hiXD2TmAWegil61QeH +OTPRNk9GGzREQ34qfbeJi9JFo+LdwKqPOf5hKuN/Z0cV1A1EZ/hPg8cjQuw0G4fPf4PrAvToHF32 +PvCiBeyWA9E4VbsxfQcfeJveGKm1gd5MDmK23u5TEHvUt5VKXCFEBpLb8SjAKxvKRGnFuE26vEYF +/qUrzqP/Rcsk6pSbbv5orEblSQSTatt/j7HAV4dnxQL4uOlJgkqnWLBn0gxoBsv4u4tdmijpu9ay +TBxq+gwrAlG6Z+nvbOga4K6rp4fJDm/vjpuPsQojMsobCtbFsh5pXNZ0jkxHjqTJgjoKof/2m1Kv +xrRTP38i2bSmhDWTKgJ9FoDFzcsPcdPfxbPOp0jXz4xqVPvKKI+9Q7PtyV6xk4bzMUvDx2tWiUon +SsF+pjL16yOp5Lfu6iUGucaIGBonxAAXpkLiKq2c0VgdIZ/tzUtwhnRksHax8ZetTV2MuPzv1i48 +jAWLafO4eS7IfqtBDzYwwtWKiCNlROBVDIxymgpHiOAtusRAZwRsi7Tu8GsJwIGdIIe3FretboX/ +0+S51ntGoI6DX6pdV2mY9vm63s9l7PiJ0cNzRsDs/X5swtqJ/O58x4ek3Ez0ITGiykyq6zeCOnuN +twDshtvfLvzBLu6dgd1RfROL0pcdB4jWii+oa4CjI4pRrCNZ4D7UvJiDhwLb+n3oMEA5dmK/WCPq +6C4/NZWBVEr58psePpr5RidCWWLK5iaJ7mGZlqstLbnFZvIhHMSEwufxib5hE5zguEyRT5zhYU6s +VvTRwAd/zFUExy4YEAKW9tqYq3tojtmzpJClp8IFnlLgE8TtAcUqP9RQHpEe2gX23+4u0QHtNpD/ +trK6EkgkgrRumf9RTkoNjTNWefigDrVJvXVR38xw+QL5L35vXahGe2Uw+gbqdd8T/OCrLhoefdEc +I/UTilkHBruofHO5z2BTQb7WZnbV5XWaIxjpi5DvzP8jWuY/1gbuuc8CC9tqKerSUFYMz1ZPZdFS +7Gu3RTrD/b5DFLSGBkR5h2QWe03vD1E/TbieDlmkH1blHNfxxg5QwQvgNzvz6YE+PuHcFOhHCuJg +VyGjO/a9qsFV7jhsjdIjnHZ31aIO7RZN6mXKZuEkxjYOjSXOw2WUII4Dcwy1OnNXWp9QjZDSbOtY +wpoBMvcRvhNBOIMWktZTm5FfE4ryelay8NIvsCN8I6q94z+LrgIr1yd4Anuv1Ml6dOenQAfyV9k0 +v6wPa1AGvo1fcl9NnLWRy7ti9lNNHfBsQfE+SI9taTVeUf4o4TuIa0ZgfOjK9kynqBVM0G/GB59h +9j5IV+aTyX5OKyjL4zQkGmztvJyj6hSlLlisiX3vWGynOP6tm/aaTpCtaXaa/fVUbUXh2dSkplcU +dAAGTQmsl/bW13WlcYFhkvELE2RXJKxFX0ltdO1sBZLfWCiSrkIjaLfNhwvpj7yku+wsVuU0O1e6 +EKX4xBgwH5Qdi7MAcmjzDhXBY9k0dmSaZBkVv/RGFiBjPXyyAM5ZyF4xVxTw7yCVvGlpS/jYoHDu +tSnxjEUw50nhrUnPcb7hG9vP2cU2BfZomjR/+SSPY0vRdDmmaE8tGNBD7tp9RMHFPxHECGpXkEkl +pS+eHXvrOBReKBZ4eMQnSWyOZEzbN/3nE1m6J/Z6/Xke19bcH3dVcdFFtnBt7n0OIJo1KnQ1pBP4 +qtDP1hAd3oysiRpoQcm/Woo2hb8GV4pYazfV+27MlaouMuqkr46NzPr2F3/ygrUGK06/DV8HAKt5 +AEhWCnvEbtpSUmaepYRJlLXPk8m/wQvo+gZlDg6feFEJ1ovUgz2kHGhD4v321uVjQIqV94jpqqcq +Xymy7BEL8fRflWMRKIZ1gCaXi1NJ6EPzvvDyqdivZDI5JE5DuVkqNRKsK+pYXyIgKDgb3Fb/EkBu +6yhM9iHGEaMjLEWyKdT17WQ8I2F2iPTkJxIcAQvHZZ0Etzyk5MOEtEZc1IctGv4zPQqcGOuq2Oh0 +2ZQ3HIDJzCA0fJ247qaduaZu3/u6JU1nXNfsA4fz+SNblaWC+7Np31rNpV5Fw3Kl33+ArrAkU6Fw +oSUWHX1eVTix3R3gQecUbv6AUnmacljF2hae2SH9nVkDMtiU4i/yIEuhI90Li+VRYpUB7t847T9x +KWxWGSZaPHBaAmzC5I770LtO2nwVJJDx9ozyZvgPrJ2J/eTdiuW3pN/Qpft+LBhz6TyvzWd+PZUU +s192z2prnfeg1U8d9pIkAg61I/+nmK+3P/HkI/ttkWhcbcTq2JBSUtdt7lAXV1nChZnPo/YWvwvQ +DR++QYdWzNr06+6VrTB383RbMbRT6cAvkjT46943A3Rp+GyImoTSt4lpqYbXopvdguOpX8KNUsAF +qmiKaaTVDaABeG3Pa/SlwLShO/nlHHyH6Ei8rlnzNCs5OKsHrEPE4ONkjZHn8tXtf0/KKRPwXr5E +zZSGGo9JOS9nUVaE0BYxZ3UiSkdbWepUb3uJ6O3Md02D0m8eGf0KEt1ppoZMZx0qsx8AstTXmNZS ++cJO5GlQPm8SfSLB0o3y7fcGPeLisyuXgYrp8JPeVQD+hLN9OXyYu8K2CWdirc3dGMU7YPJiA/Ps +Dpg/oVzTnloettpnRiHNGIG6dSlg1RbVdL0q7JLUyc4DVZn5IHEZnJnRxMLjaUqtHVTm14NzygN/ +rYNDOXNhuYYouKHXCmnyjc4P/5WvwbN6x1BxXvk0hvmh7aLesirGVwbEcg/cJGhD7qCndMYH85fA +yz+Au3tCIS5YXAwwXnLqxZNxGxLrw4hOB8gIJlgyNya/8zNhc9poPDkEfpQ+XvmCpwajQaX/51ce +nbx9EF1eCVqEmjBtBy3hHeIh0LBTUm/ecRdNIsh6Qc1dtYcAi6QdKoj3zr4aiBde0lSxHcvNtov6 +U4e2Dq97O1pNMR0Sd1yFviDqmaQD428QZ/IehHaUW/V4mUvd22gN0eu3JcszZ69qjytAj/Am0KMR +HOAdE8iMYvYTVlX4Ac8G9rJ2FI8k8zogv5yxrooGDT0tpjHCNSiStE2UpwMpE2Ypo05ZAIMW7INR +WbvrAYwCqL073S7G8KMV62detjlQ/Ri2eAUeYzMZiHzPLFYJAVaA7X/Vc4JMmQNUkDyL/LL8huaC +Byy1XmTpo2cS3Vu9Pi74pvQYnW5152cdVRXmZFdJtW6KF5RTM+VxfK1Wn0f7Pfc6Mu1/Gj/JncCh +hoawdjW1b56zPvARLC/kCeZ0cW1HD4eJrGArcx1hp345guHx+RKE22BFI9kIAU2Sd/POUHvFp5An +MYE3sicnIDdpOStv5sl0dXQIGDffPrWLRdt1+cwlFCvjxBrmhzKS7pSN6OBr1YDFYS2uFGZuxyCx +qbpxZIu3jvPI5xIRyzHx/qGoXWcucvx1yYfH1BApfd0mLyBItpt+LpwCDORAFYHNyhuEELPI42ZZ +IWIC582Nd2VI+A6NVBOO3FDw6RbuD6gceB9yVbKZkV7E57mCtJ1KR5b1L8J3jyH1CosT1DTgEVBn +ta13Rx1rVyWaDngQHOqxeXvZW7nvnLqjoNwToHOeuAXbqAgKK2wWKp/iSQzMV7p0NyFV2XSZhr86 +fpCRmWsDOL/6jC8HXbYil0Il4bOI+njWDdLIM+7N2St1bpJC7pgmXJbU7Q7CN0/ov4P0r3/t25qY +irLf0hD6fmPC5C8C7NdV+/Oaku2J3adXJJ8jGPVnSlDjaj7n/eDmn2Z46K+3fVaF4x60dThiXHrx +9WVVW05WlRP633V9l0mjt2Bywifx0I5iUkEiF6kFwdtw0biqVmbLRKnuF1yF4RRdBxbIlifuSf3K +kc4cTyVRYEWQZVid04tg6UMsId+M8c8UepLGW9STIehUDkcdjhPr3uokeCs9+YfLOQk41QCWiF2V +0hUQatbwQ5skEKkDrmWydgr+cVoZoM4EQNSzSeIusm+r+p3r0XGIs9Ip8cbOdKxRXhSlD5iakFtN +sk7ndyxa6O4b+7hrUcHkyzidA2uy76WvxZQQ53pOA2dOj8R6yjulDbJG8XDzOYIF3m0WzeXU4FNu +U3jzfWymD83kOTJIKN2Uck2Z7Qff7Az7m6eSv+Km9RFbYG2OKLvZepgfNEaD0N5celwOp990n9AG +Nd2g3UeEqibCyeH2w3x1qb6b2nDZbVjvI0sVL2ZvRMmwn0IdNTRzZYMXEnp3kcX0P3RLEYDWb/82 +g4rmihxdFu/SvJuEAP+2fQKx4w4wt6UUpkbfJwcG9kbr839YcOCFp4+3xm93tos8LQu+IeuCiFsI +/+STQ8Q0/gSTqAC/KlOAgAVpmtjL64O40X7lEHtwrvRLEo97sjV2oxlvujKprEW3wutU8C71C2JF +e2zGjRXn/T7IdnAoLxZYcODJNH58gmZU+EapZXUD1FaWnGSDxOGWK+FBnI5I3y3nT4SRupchMbvm +5vdswiTcpLWnneUVG/dO5Prf5WtfIu+J7FWYC+EUnjzB+SIJaQlLiOKOxXUsCgkOOga1SyrDx6qs +kEnGpY1AyxD2SbPTBXYQ9/GhaN8gG+nziknFmvHxq05sUBJOgFyV3CC5Y4IpYTPCL3/I8WpPS1Rx ++R9qHlPUV6FRMPn8I/zSLqXnbIj8ji7dnfdlG3HaIlmILsdMLI1nkqSUHkcdWHkMcHDHXl/qvqPb +4eUQbiMnYagIHaRwvUuVBiC0u2rQKwtBJ1vv2/pa9hgLWqy9K72iLiXHLjNH1QvO2uKcRJwRmdNi +3tvA4o+Q8VCHCn0HzPg/T4DhOWw24EVMciM3CE5H2dUHH4CtDaEEsuMR8v7hlRLE3yB+RXHdsxco +ma/brwXUKO71ypNZjVnDHLfqukLtPfNiI1ZzEfg2YCKWyZIcfeNDlVyhyMIcoDMP2VQhmildUrry +dL3P4eVEgswZ+2mhUnBMpBfAjQwU5D52cHKZyS4HZI99xV7ZbZfpfkJo4j37YsiLoy8+kk9iz9yk +n2oxgD//XL7S8PpsqmbOb/Pt2K5MD6xpbYpS1r8d4oVE5DFiHcUslXa6Piodwju535dMQQkyyX+j +hmsFxzsAy6knn/k3e9gblIZ7+Wm58xPNk9OTQD0IYT76xXBAFv6YpN3SsRDnBmM38+BHsqu090FD +T7nX7YOeKLU3qVYAWAQunCCrTr+fWoA67wkd51oNAmM6C9DzwcrplV2q/1OPBIFkJbPJI2ESt/Ab +GHtU4tIKTGkWQdA04ZWWAx3fGDskHcFfmoYMZb24KsfyrIU+fw6sEaAAoGHwTQg0B4VGrDDsTX+i +wGgtqmqXAT00Q11BiT51XAPWsnWq9R6E+5mxlbQ+HMA8As+C2IqA+zLt45y24WXtVyZlM75IQZXv +1R48/Qh77BfKxDhaKlxf6o++jNwgWTKTNstXNj1tu5NDzmVm/dhuP1mcGLD1mi4XU0rYCgJkgqXT +R88SUSH4e3VYKodomJBYJfFESJrDjrBcHqYsc5m+uuwll4KMzeBEfHRfetlTjLtVt03PXGdmcCOl +b5p/TE0bZ3Zh/YUawsjv8Uvkre6XyDqJJqHiUxc4ACjfRoPiPVCWHpsR/Q4q4gn49wjvEH3DznPN +NC+w3YIx6A2NUvX+E78TBdyvZbzQ6sFwlaQDcxoH45NvsvokNS86TD2qnI8rq/joUSsqox/9RERd +csipPoSl3fbjYXj6ivxNey8GZbevmDec+k9zTouxDbH6n1d2o2cNjN52j1tvPs+Lz81AB0VEWdX3 +do8CGCsK442IgvYVDduE6w87j811RodejYhUqigB0rLnFZz/beF7DXcQnBnvi5T7AopUtREmvdWl +wHAkutfXHk2re+CzZuRhQMOlrUQt/RVYLWITEIefWmH0vzuRyCbbyJpZqH4bdLDKo3CkE7gmkqHA +is4hnSLZcVOIm7FyL54FHwsJ08+e7eaj2C8M0u0mUkIfSJuZsW0ZJ+K8fxvpb3eEkcTHuFW2MArL +FurdRyE5gau7VXdOT8kXIgmdRvoIPzY+5NnG7COBVlKgyNrtgtRGS/5ezSFcJrbv0T4LMhsLaiRL +2zRxh+UrVury7RFo4q8psgQ/8Ue7sXPBi1H7EY9F9ce3Vw6Pvo9U3+7jwJEWlJ0I+ruSbAF/X4PH +4VOGW+nzceETsbPnbeQGXaeiCEYch8uhBLJh755bOYfVAnC3bQx4c+g1JVDqEDvcQiO1O/pqgBaK +8kBhXf3hNLIaTB4dGmLR4NOUGVJZK4+l+utWhofPk4x+iO2+my71m290+/B7nIgTdceOCsx7FqTB +C+6gUnbJj/5XRTBHXvzkyN7UIsziGfQPV6Sewwi62m3waMyBBBWG7ptdAWMZTYF8BiR8G97MKRdF +5HSGGM6kOaLVDv7Xc6DJr/KgBbZCWN0fVFjCtmWGdBhwW+xbQlvC1kmwuO9q0LVu1dU8cPqxIRDh +LpMiPQJYajc4feMxTQjCjFMUJV+k/VTy5wNapLWSICESFHXxYVEbEdnO/tc72Z6Vr4u4E3YLSxh3 +e+Td0G4y/lBaAZXTFRWkU+pO5Roej46GIzBLqCujn1Pgm7O7tXujtFauJ2nVoP9nY1XaypTro3QP +4U5SQceHVpyCVipdZgbBoMcYwJQqDZdczpvMFEUJwIRBxC4+TqYve6WpoCVLcttXRZNI6rDm9SU5 +JNIsVBl7fZQNrQ72L42yIYU2DFhk4G7l6chchTFK+nd/GCp6q0w9ReWbqqb+V9TiJZjzNwkogFUy +9MEZWIkX2HLZtuKAe4oZVEN1Io28Ph17efL77OaZt/Ns+L//flUgpL0JoAGFA2pHUEGqwENi68lq +3r3SQSEksRjmcA8b6xheLFfZQd68LjofJ0vfdpieYC9o9l0nwC8msqJDne8UHJ5t3Hm/lN27Ldqg ++4YRJ6zXRzYXXFGMNbGL95CgVnCEFtSM1M7Vlcyr/XsDZS+xaHNh7khtnnj7544A9k2f3PWa8ZrK +SeYVaOMB1aLyENq/MqNXQb3TtbpSKhtp/ndvmzmthgaOOD/75rjx54p7MrRDKcpADM79dy1IKUVo +7MBSiv2BFvxcoFtxJBI9qktqM/go/FeS0sf9rFTygFxKuNqQbeAgbwaPUTYds/CZeDZknctfNBc6 +Gb1aaXlj7txHM23IR6LmWLN60hXqxFHGrrk1UJZiUbELnnwKIzQK29IOTvF3XHknGcjkN3gGtP98 +ba+QYKYVcmEK5NtbZHxDng/mRofa15Q6537W71GhPb+9Gg2/YCL5avFkw7G6TDh5lMH/+solp900 +O/PXsqQ20Sto85dmYGNuUMMQZjzhj2PHPUKiifeTMpDezNk7+lmp1OM8lRnTiuDOhJMAni3Eh1rc +XMcBLiq5LDOsePn9DSQmAlcf7jQkEuEt1SXyG1yf+BuShHXTmLbDNdKhMhVdJ3ALexRYGiGnqN6C +7qq+UgNGP1pULVON663VmbW/7wT14CCfJdaYjAQOGh4TZPBjiEuYjW1kXZRgYS9cv40mUNH8UXkt +Pe4Cf3bz9YKOreQCHqBQ6vUKwns0lUKvQDt9dynYrCg2+40wm8HPDJSvBikK9scUckPuMehDfibk +FLdT6IE7/6GcnxSeeQ/CCWnCi62zagBFg5YNMY157L1dLfYfyRrJW4HQcP+H3nQGRImD5a3hYlhB +TfulXDr7v8uEXDwvWYmV2SHFV/JG/1bMK1qrt9+DbM9zGuLFzRMCXTdy4vxjL4yx6QwHU1QXjCSz +5EAOhnoQ0MY8FdjpvJqPAx7KGsEc0o0dmoWdXC6Vn0A66XiLqUxraSeSphjwqGJ+zj8zvGJeissg +nox+cKSX2FziOG5lCN2BfqWMajatTNEJGv9uUVqMl4AlLNzmnL9d0gn42NA7w2qhcYoZIzHxC/vS +dnTFKMdR+NIEGaibQcexcfST6tikuwfD+m+36t3+HQBNjhPO17oYHCx7n/VYwGcZfHmFEg5JrJ+0 +QjlUaiOkoT5Km9E8a3FvkKGsnwhZY/OHS4ByeRyG7ZebbA2qpS0OMrySqagdGbY3Q9A815vvlMtd +IrHrNob6HJmbQzP2W4t4YPVLyP7Sa+B4kZ1ZqBkec6BmmYz8ow2LlRRx+3SaM4hMbHSZhzeoNP5p +OhMVACpPyD+PpfnZ/mAMwQzm54Fs/R9dc7Iudk8Va7nSVMa96oTmPayinReRIAVGYVn9Otfl2MGv +IOInrhm6ZuY6UxU1nMR7lJqk1bQ27AE23gv+wOgscDvqlxxrRMBfA64t8XWQ9h5+D1uuqjL0a1b4 +9DXMhHJ3Pklp1+/86NAoZA/99FD1M53vBdZdHA0CD97FlxVHGUmH1AY6UYuk660QADLbxTjY+PDf +L5comb8eAEw9A8FD6oAdzc5CxU4qj22dxpfvaDsxOKckbLYduOY3cL+aX3+6DVzp6LBlVEVZhQJ2 +s3NL+BuyF5dOkAyLfJsDio9jAfg9wh6HbdpvLKBlU8zCXYTNwXobPHk+zWUHGmj6560w2lcsN/NX +1NkdIs3IFFOxmymJE5kSyEbvB4MaanFzIE3fCecNvxnTS9X6q2taindBkt67Zvl3q5DUNxVysGod +cr8lfHgxPU3uUchJQZyuvLcYG7R3WqlIPh7+nE983AzA4RtoUBLiZWSDF4D3TRm8EvJVfbRa/RFN +PQrhZ4In9G1K+fY49cNFCjTE2/O0O0By9/vNdpByi1jfqlWGdpb3YJJMQR4dch8axIelX+IiXqnP +i9DRfFfmFkPUcFamrOgWMT6zbLo8yEWa2VX5vckdnEcKkXiin54pjbQ9oD2ERtnfLwgbrhYtSiS0 +DVKBlm2fIvgafjfx9G2Q1nyba52yISAVpPZSbju7OKiZ/LqLTsmbAm6dcemcJOU22i7bWkC9CcmD +4hYBakiGzboHZNcRSN+wRhgwPEJZXL2go2IF4HMVdgmgmx6XmFtifLgOEXHtAR0ubqebMtE73/nF +Uz4FQry+jg80dB9sNRfTrvG5U9/Xu/UhhvdkCse1nD+KE9WcEiYc6T2QPOwh7hSpgQh8MrIny1Jy +vAC3dRQ9TPpc391UxwAx8MUaM9A2r6AjvZEC3JhCm0l2cAluIH5iphFlqq1wfNy4iZDBL0LklvsX +5Qnyf6Yp2vMhpy89Msv5KrYCGLuez5Cy0Yxss1bsSrgC1xeWo2CtBsb74iRli5L23AxNKh+s/AIX +TVWFSYrk0yVGAn3Lh9Zs3xyij+cRl+dJyz5K/i/I1pMNoxIyXm4tj+QyMiUUZuOeoDzyqJUKPNaH +lxdOAWZgXYkBa2Fv/59DKhgAtwG8EN0TvKe5pK4Ys2DKNkv+289tf+IcUaiE6fF2a3aTQsfCiKmC +lxIrT1VXMEYvQkxpAxydvSUf+IijLCm6I4Pp42hAQ2aY4lft/Oojh4PO9vPdsr9hYBQUQs/D7tVW +aBbb0BcHIq0g2rlamqnaDNDXAzDH6dYxGvGQV+5RcN+fJ0DyrhqbD5ObL4h8LNoNPFfuYovIMhtd +YWxrWlOlDFpdeHdX7U2EKtbvazxgJqPansE0SX0SPF9xsw5qTJ0MISHkzoYnN+o6XYpCUTzjk4BV +tfKmLo8iSf5+HHkaAfyPwp/CZWsSqmmyIw4CCPyq2U6syuPiKStnUXcXbSiJEyGtBA5j+tzEMTc6 +nOVB9eaIUmRqWhV1r0dJDfZfDfCzVSWU7X+HbOe60cGbl6wXj+TUT9OHtm8P/R0GRM4mBtZnihIN +u0iY7pfJs51hHeSyhDbUsWNSpFlq0MaBC2wuOe7Q9Wnd7+K9/ToGRGi2DP5GPuSdc8pquKzRLYLA +OJ+76P3842r5Y7fF1RtY9Q/9/9A5eDOP3eC/I0HAqPwgYB77PPybPEjXnzl3Ma4OSwZsnOdfrZYG +YEH3ivlMNsKguKxqQ1FXgMSCM6V90XjAeYuzZcxq2DwOl6W0qegTRGoXqSY67VFZOTQQ8zai35ON +aV/fYMSwUF9JtCoiadu1obIaxub7ob8ezH3K/afYt1dFbq5i81p5qFxct+lI2Vrkyu4b6+yPRtwk +JrIXfgTTk1UhBqBTlgVtIYE9WyAFx9otTCx+nRTarnO13Pc9uf8OAKm9RDHelmiPNyW2HSLRVsil +w5do2f5FcREzHaF4UHNZWc6xSTfCdCuQ6Q3jBTpvx42grXGlzVtBeVoYRT/KpCpFej1sjdu+d8FQ +Tfsla5fjTil2tmJcZYeE/MEbmwMdSzboBci8YxG/5LotBZfqNT3zL37BaYOrrvp5778dWjM6L8mj +c8AmGNUM9oq4ugNp1MDU7UQnGS+Ja5bNnOX2h+K5o2nWRq2WeMG/n2yOTxQ/YgMdah7dqzQoAIFH +9yMztqc4FOmJvHaPpBKMjDUmlsld/BSS6RIP+qCLRxqjpP4dLNYA+UPE+9/kOZ9Ss5rjsVPRs9yP +0CAryHobNAvH76/BM5iuL7lK/YtwZHfEmxF+S7LV3QhhSpBAl3vV3RdQCizt4deBPEWI8sH3FLi0 +rEbZnWVQu9EWkzcESsbeckLcy4ppZdj7LIJGA+yF5VLp1HG+dK08H04MbY/rehVOo+stBHvF/m9d +XaT5B9Cph1tKEU20B47AAzkOV8z5myvfF3iDL+aJtwVBi3RYJzvCjJLMAPPzrZfMtbCfK2boOve9 +dA5llsFA+fXYrcAYXYdP4HPEt3yyUtXkAXUQgkvXnQPmR4RaNQJ6Jq5jMch4ULC91hVL0VA4iu8s +b1roP+FlaUwI0o0BroE7+xhfOQrAzSLadeyTllk6habEKE5nbFnqZrUs25x5DeMvO6pFaIXVFj7I +COP6aSsEiSaKnVFCaS6jdeEhg74M7rg/guf6pWhGT1C4RJeOCQ3l/F6NgT8AkGS0DHHeCNkGJPGe +0oqJb4lqDMWtC7M6Z2WI076zM3aUJrBgU1E5D7nmoknp81webzwS7qwxA41UVOBH5n3S/cg0WSDQ +qrMJsOqZOCoor8nm/K7fKbvNiqo3anue0t02glovjYcJOkKGP+mASOUL74iBAJ/2g+/ZIrF6yY/a +WnDLgLh8xO0VVtDAT4TcLm80VqGRuhIHfwhmk4B+kkBMA6mdjGEKdSsmib9JunjGl0t+fSAu2/1A +4Ld9Nmr7FV871QUAbCTqi0rgJK49dvvqLCdz0WcosIkHefOoTpyfn7KNRuErn/qumO8ZXwIW34dI +XB1ft7DMa0Kt05B9rqC4TRjlesLHhJbVXf/ZeR+ruw/IITjajiLprJYGvr3rYzj7Sl4TM8Wa2nxv +7FKOc7Uj9AGfE4sVQvDHn6AsQSBvXP0O5phY+IqChFJdYMURktoKE6MKuHe32abO+FZGvBxOrgqC +/1iLsex1adfC9tJlqceKZN0rC54RzoStURU0OWCRf4bffh5cNkquCM3VZKghfy+kGactGOqDgtkJ +xXq5PrB4DHhghdfXFjJyVo1lVlu14wQErBRSOvz9qLndE0J4g5xits1PCtlZPxr+iPsrcc+7Hq3o +uSaecLQW8BuMHAme3Awv6X661RulFCQH4ClpsFpBIkzVXHpWn+y4ysA6UOICVHQRP3xdea3sf1FH +BS46+8InCTpFxIoNQnWoEUf4s2IwdaM3cnJ1pJ3S6sfG/hFx5A+Cof07ald1XRFfhNqrITZeZA/l +N03iEsW0ViR/WGn/Oq1Uo1iEOS3rEyiDBq4GLuZI5l6xRUIrm6vqEdsmCZBL6ZcglRlGrFCYZ3a0 +gKNVFiDRrzu/YdBVZee6F4OyzVuk8inYMGB4Zup2A3yeEcjnHcJ5w7VNOybgWzrxPbK/eNyC0PZn +xgD14t184dKSMaos5wpVKQh0vCmGZtIPxcdwO3b5WRUA7BVpbTUEBtJ76461olAnlWoy8YD08y/+ +690NpZ7Lo4QKRIgIYxMjGbdfE5gIYVE9pF+W+q50RrbakWMiBCH7dxQ4cEVBRlVpjxVf4l+fMPdd +MuxKSEYyv+0BTHyp4o5fFAdnSJ4OuRqdc++0m0uOSOFDIpU+zxHlu2H22P7iJtHWj7QNh6Pq7d0s +2Von1clWnuIE882XevAh5vYp9Aws4RSOq91heragXS/NiRb5FsBi3r8ErjVm+A1LZJC5D9ElNiat +ntb9ZnSED+ixXl82TxltTdPjHK+W4TY6yQ6SYDgihC4kbkbPAaBbM4FiEIl0Q5LaKKid1cOG0Gw9 +KDdUND8cDs8yMFLkAyFqna+HvblGfZ0acjArYyWRdZWMUjTAzh1jB1tzNuBzCJyYh+KoLuUPkcqt +RyqBMZal9us0iyG4KB4H4I9AmSHC8oWUZ4NPqtJLsbDFc631WVEL30vbVAExHy8xNkn+at2NrTpb +On6HLSDbAhfh1O+kSLZvWqt2++5zeP2ddo7ySA556HSNqenSlPnHfdYnGHxxe2XWFsP99DDxI2uC +7rS1Y2KXbbr3BN0iAAHYJIfVp2fOhnTmJTiCjZ420lhVa46qw0z3HGlO5Ui3FYQGp8184fTHwjni +t+Nh1u8Zt02nKdoOERXRjU3j11yaw5lDCIenlqQNGgOnKGarhepUxMvTW8Bc6trQOsvzG5o9SrVm +57wUdy5EBhM2vNJEVMpQz2ch1KhLzsGKpW5ITgZstr+tNAJCirwdEhQ16qC6tyDfIhJyjUvAsDO7 +9arlIxDqR1vsPxP0VIhBATaH8bhrDWqmF54d/eN5dA1esiLnsk5IgKmPbezoYg+hDWIy15nxuszp +4MvBCPgITl9eBfkzTodJRt4fgs+ALerYKsfT3Fl5brtFlpFL8rZIoX94ABKF/MGGgQvgfIXsUb/B +hLiYbBStAW3KTLXhzCq5HMmRzemovn47EoFO5NI96JmLJNDn5qAfj9kimxewG6ukVuQ1a7xjxSZb +xpyUi9+VHy7maIMT+IuWY0/Uon4mcBT1Rtck1gBH9W4TaPHYIaOJmhNWvlJ0jVcsr8kzn90EuvAY +jljwxVT3pKcId8Q9AddsxoS7uEnAlKb9EZN+1ab67jmgUK47UbORnETrclihRr4UJggS2V2rb2xC +O6cbD0K89xbdGA8rwfuxxay1jd/p3JfOzR3LndDqgD1ErBQFwMucCP4N/TlNhpBBmsPHBLyabeZi +kDQK3yJ3vLBTeFigqH9yqBXRrOnRfJn8+DhcUzYO1K85q07Dk/4T3LwnPXwtR4h6BSOjtnuKjHxC +s0HpfsGFkrvN2hdIv+tYQrnWUBctf7QVA1Wa3/EjwDDAL0THvfT4tpZEP3M4JC2iEvqNbxH06mia +AvXvy0ZvloSigYBakaZB0/uWCRpxhjpVW4mgtG4vGzBMiZTgjghT4ArfwRgDtjAfzTk8D4R0mbE8 +iAAiCN+e9YbKUUZBKZ/ZE2iwB4FvGkoRDZYR5fBp/0CRC57Rs199Ici5gHwKspLgG9oJkIusIm2m +IohKGYYVhI8xRBF3BXnDl1KjsUa4kG2BOuZkcgYVcJKwc8OywA5jp02bbbH2/mr3d4ImsnUX9m2h +4XNpEd7PcrcBmu4bpy9CboV/gpzkB101z6gjSEkdnFHUFj3oILqDE4i0NF73VZcjpzEhvKy5iJJB +9nKgFuksKET+ZR2QWXzsKycOEfI5KINheOAx4syjHuHUwmXXZmMvpvEokRXk+R78gLqBZeEW+IVz +W2Ehp/29gbJhxqAWAbHXdIgX3AQdqP68+enFarjdtL+3KLd5wKaG5c2TcXRjZgfAM8NQ/TqvpwBx +dnAer1QERaQ725oVaCz1cGOQkiST1yTZPvpC8Vcba7SsIHd63pmX24T0n/rtFN0pZRTwqMF45PcO +SOYOqn+uKn4w/gglybn3Mg/eFBUyuo8SIE5ZNXHGpJJ1TqBrT994ujUqSBVeQ/ItT/n6UY/i1Xyr +IWGCE4lsDkF65fWUPLHWVB9C8xwm6Tq9d0DFbDoBwx+8Ll79yCJX1AHKDSXe7tVslfM7L8CulMXs +0DAdbRTuQhytn2g7jOfdXzJR14Kc+obf6V0LxScRJ+Ye8zCWERltDEvEG+3uCpiNMMRwAofQ74QS +5AU4pzimR7iOvrVBz+Zok9fR02SdHpGZ8kKjcFLxnDBXsG/ZTno994kiZrSTYLnuGYLBdGdIHClk +gwms9Nl6kY9N+gy1zwKeG79+3cgN26rI4GbvpYzGQZCeDGKcxkF0puU9JPDscxcHXlhg7vJrB4jF +SyOPo0SKyHaL8UBmBGLHUQvogyeUBngJcI/8+DfxncgOVIJC2u7irekhy5m2+vi/fjiZPEwA/zYd +EPPF3KJTFSDN4ZpZ8PxIl+R09ZNe1nT0Xa4DdtgIs0NAQZtCpD1s5EMrYTViijv+7J6SuFsdAjXp +ASdeOLIyTIEqd4OiVZjywvk2ZgbkBbiVeveom1n6KAgzQsRw9cQt8ZBpIU6SWb7/riXZAougFE7h +sTETjxaoHgEKOafjZs/eEY01Lz0XhL5Wr03Vbt+kKOJDiGWEHE9vye52wKJeqdvoqTl5R6VvP0F/ +qyccZwSA6KVDGNgSjJc9KfmHP4waGpQN5vYvnnQvDEM8zzZTtU5iVinbWBlvI5id/WHJWn7xOvWZ +YpGa9qG24Nn4hevxliaVtE7dc/8sj41kIg//BQ6kjhwKqNx6xjaE+HU+gpRjiJ3bnI3IDYAhBWSy +Z9GJPf9O7xALeBVCeqtTGy9bMsoM4oBcH5zwl/NKdiuEVvR/gMAkip4WgDDyVD2kBlNbS1y7Tq4k +zDvsvMQAId689zX1kTsVMF1yw0TPl4x9cDWT96F4+N8b/0hz9AL7U6i+c9O2BC/JeKGRyde6Fzqu +THMrBHVKgJTPtywiY+/dlLPTwzDAjfulmElF801OQqWlsvMbU3xKzw3gCz3MrJH5ZNQW3a3qFTLZ +L9of+YtEDymnACth1qt1jTZF40zPB2TAT0xEU8E2oKqBJnklBEWAS07LkYDuLa9x4uOmoYJb0qh8 +Vjzq2IsBPsvi5Ufdg0WUqBTnjz+d/EO65bTgH4jqVAi0ncNs0oofGMIoVTpXQxe7wGUBRXREkwSC +8vZossjRKo+0qvOi5sSLpd0Mswe6XWmCjo83Mr++lCu894zucIvMOti6/ykeJlQnQCB/SKQ2ADTs +trc8h9EoPg13HXicyVTM6rElTjTKqWq5138fwUef0o5dGEGG2x5UR3vRMIINSL8xfL7v+3YAoLOn +HsWXdFjXVncq1tJRESyf1kPOjdUYf3no8dS4p0NnTnrYEXgjL8iNKxiDWx/KnY1QNDeCeDwYyz5G +5qr8k/+xVuPdxmQxJSB43gli66UMwXWhv+Sx90HtXJZcz/OxpWyYRRSR0sVcjEgpJBggntcl03cI +sICSeZLbA2bmkaorMX1lr8AGwchZFDBwWWxttJHsj6aaPo7iO3rT8j9e8hiWCafIDlyQNuOyAgcj +2L1C8gWB9opIpRQJpqMeDe67dsY81HSx98bdWutVgnCOEDQymo9UiI3SPpq65Z68JJhWijJs+ZwM +UwXDJcFQHDSrggWTKss4LI9mkhGItsYRuCPh77vx3/fW+299Q+dAwkJHd16rUAWxYZejuz0EeBLT +7zkBJ9RFvzawKbsuWTzHAIeN7VKmOSG75jBlJLvHAs9BQpfQV5Lk14sPoMNsNqyxgvAfsbOK7FNx +ti1MXN1yntVOR+SIi/1glSAoxtdFjyubacg8AwYjW2uPAQ/egdEZlC4y/sBBLqrkfG1XH5vPUDS0 +9th7Cm1Y38OJDCLHqeN9/1wFMlGR9noeh3MbtRzykyg6BFBX/rgR0c8xyUr6IQ6m7sDJjhpfQ8Sh +aRsfk+eq12bnx0iLCn6JfefcKvm9UimuEjiN3/vMTeOhtp7/rQBQ2FPyL1KCvdV/jOr+veqQGzwp +FaOc/HlkfsSK8Bx1b6JPYU7+hwvyCO41+9a860k+YhY+tPovA4pWo79mBx/Q0SIcmLzY/zSr+tAI +JVX6rdh/Q8JrNWky1itcNDEQqcw5jIqj7/6Pv8t6q3t0d6dRneV9x6OiWiQr9xIxpG8Dfl2+6/RS +AoWJaTCLLMqcNEiRKoNOsTP4EHmCOpFXuoSOg8spZywR8iBEz+HYHxu+SHF2TiyLjY6zsbUuRfOC +pENkaibFSe1vBxIRcsba39n7WJ4gkLjL7ezX1+POuHOaSo+BwI4m4Q6us92Yi1yuswU59qS7v0hL +tnN9lGpxqrm2IhgsCTQU4/pd4FsmPb2UvAiADzkDGg9IRrG8XkbtQbYGBqa1jf99X0Jw0PGxn2lS +3FmvhN+4oucG2nlvd13AlATY8NF4ePrXnP9gGzycT66dKW3hGTYFI+ND7VS62VwG7H9/CMl2V9j+ +KVnkbTEr0kbn3p5VSH3WD4zcpUtQ8EGWHuj4DvlyxiDu4kcXQA20RzL5bQLSx/Wc4v5YmtO8J+Zh +NLq5uvsRsFd18VzOFmjARWX6dJpG2NtCI2d1FqyalwP4eSCi9uLhUC2FdszkMODFTpDqo4UyyLMe ++zCoKpDbjwcS8rpFeha4C83mNK4tWCENIQzaFf8d/7UqJECUcz0QgGiMNnVqHw6Bx6OLhf5q1f6F +hOH2WDdJ+Hywo1FWOZnqtAIKKBXbtSJhFVpePKCsCoa2s7gNhTSM7yFrbi88tx70Dfz6qLneW+/O +jLrMBrqXTCBm5qWA5iuyfhy19Wgo0CofHw2aCYCuYFUBwfLtRa1UISZeybiUwyiYui5PeqG40u+U +cNCRSBhroYqG+kZlLSlab8MYQxZJviHN0dk/St4uDTb5bdfZS1X3TtEaT7nzhk+NMXJFwSbuSF6g +SAedTFpn0Nt+aPrh9dS+Ez8heTLhGt/oPsmRkbHRDF3hxVlvwXO8os9ZL4BHm7vvJLqey3uIomU2 +Yoy/dzrNUn/ZIL9Vx6W6pnz/Dgk4AinSf3VlLKiGduFDnUZx+hwTkj1wnaxONbYHBhgHDmyPfHWW +53hBtuJKRIclrnPi84SbBs21OPKUfd8KP552GjIySnzdeZ1hd2CVE/v6TM3enIUHKcAYZCtph+24 +mTVGtiRqGAMl/KVSjNJvgVzwGkISIhUtasJepF4sJTYnEB336KKBhHyJJXri2JbEYzqwHjYxaYaf +JnJLdRlEcBVmg0zLKpfHNNK7aXFitsCErc1Eymn+8YC2JmWvVg/l9NIjjw3PPM3SbVzp+SYVl/83 +n5qjdzFdfzkgwIGY2TqJ4/vKCn2PRqnTTLtw0sILK3vWglzSaFxPFamVsMnBSn2AjQ58gHmnZALC +wRl3DDC0eI9vr6G1VmfYj1ZGPttYDk8H61c9XtG0XixsGptFxhNOlONhxrSn+xJojrBqSQkFwf3a +SyMch8Tw0C5QtaSKwMvmEGah26TOK+dSElpzSHGBLUCc3C3PEiByBKlLrZ5Coq0m192MU+HYwb5H +TKhe6wcJp1DOX/KadgnwuvI1CRXBNMZMkypLn6bLPA6iSC2JFUkA6FbT4FkIn0ZHrkAZMjQE5lvy +FYn4i++WRKCjfShWROkRHW5Sx+3o3mdxBLtZu/d3IXgB2xem8VHbll4nRa6NTgVctu5REjS4p+RH +48TPZwZDuQVY0tKQR+37qjGlQJZJtxvExqdFqarg0wMUC7drkcWffu9bwAp4mBdxglYVbFMvmXIq +68i1g/s239DO+t1LTe/gHO/utNThkcl+yB1NQ81klMUOdIZbZ2VabaDvyUKTimv4jlRAZumUAVxG +7tf/wxcbOVHmTfTPbDF/bQdIp4kRYY27uycsKUTmTK8C+OEMUdlByPWYKH+vZRm6GnL43HE79DJo +ZbbutN738+S3J869AUFugUMT3CLLzSsx9bFqgR1F4AlhGqrFgT0UyNjI98KupMKPuGoIDhBtTyhG +tPnNRqo5nv2qy0xZUWAR0IYhdF2WMTVg0csGa/gQlIKM/qeqYRMptMSP2ZyneZsaHrbu/DYtfjQ9 +9zc4LuCzXaUA7Vtzb9HRKesJLAA+I1NAggKi0GZaaMf77+Of+/Fw3uoTbC7WFg/KeGje60XYYYBz +19p6Qck9UBtmBUcSAwXT7dUg8XJYMu3gC9KdGthBuY+ncWg8xaIy1e6CYfwj61V5Rv56JCA+7+Cc +jEvaaBquwp3MJxrijERszMAvpaROKpLe5Bi7XWf3EkntrEfAwq6+ESHYDO9xIKlixTOYjqdsbHc5 +BWcdPHklROkHDO1jV7IdU0H34Dc25smIL73e0Lr/3IuwhikFeB85M11FDnlZ3JEpm6u392VuwVkD +JnDmEmOjKJiEm4hU8QkyHiPgB7BMX9DQO1i+gk9ZW8iOZ3vbYEwSyKVnlIBxr9HhhUOfZXcIBMCe +i4eTV2hYjRVxc9je+xV7mgOKWJVBffhx6QmQMrxfFn1uM+S2DaGbcrwE/5vRLMGG3y8sPCLzibwE +IVkaT+U9+QgHPS1lD2bOy7K06ZXJeO1OLaVvHLA4rI3mwN47bvDpUSce3EVAi9I1Z44ABV6pQWZa +2NqizEqvs7sRK8Xxa/N5SvIt15xCKtX6fd+aenQPl2AkRcYIDreL4qAtYd4Xj4s955IE6AHWg8q0 +27RDX9TISFbDz8DijkWpfKbdqhI3o7RQktahukkjtCUFpLRNi4g6Th3pdLS2frKuYofzSJAI+QaS +y+K1YGanlUbQ0OI5nH8sIY5EwxUSFT359cXubN2ac0V+8rwxf1+e3EslfAardTNP7Dj5g/gOl9Rk +WSMvOVafpntw4WwnAd4YAXWT3r0H437A+Ks7Z0H5zQoP32oHBqXf/PUMten/NCIbOAB+Oq6RA2nT +P86YNBpjDaHWVucKCuo+mVUJ0SxbkCuXzG3mwpZMsIxUYcwH1nZ8Q/S4oDy4ln7sqgriONrgavj5 +d6v2iCVRed6uN3r2EUQXal9y2Ds0O1AzbHbA8RBDjNWa+G9BAD4u/QOjOzvQvi4seDY2L2v4GA9k +RBL+/ABABT0X8yuOQa34feBDnJEw8en7X+/hlHJd5twOXcBLjFpFT9oSlpq3AiMGfY349/WmGqUD +nzog9L4X6+zZLxG/Tanic2UlU4hbNg22ckqq0tWWr1J+AwLaR1C8JGS7JSVqGe2FBprhfQ6CCupS +OyvDDQnWVvpdlsCZ5xtaAnY3pN9HQ7xW7bQREKgCrqN7H5InfCNsqxO/XF0tu3Je0OPo62qf8zOU +75e6+vKBm/7SyG6qJ4ZYtneq50NHgU4XEki+cLduQP4/iyYerO/fMWtX/AwfC4/MZWhg2e9hyZLP +YWWun8hvAx4VAQMe+ePjLGS6ENg1eJKc0CWMA2LmVgg7Ri8viykqVVX2bfWLGxUHONtF7hOdebQG +nAtYffCrcvr6h2DhqDzpqSmUwz3PvEmf4pKxLkLMKviCIOy0FRS3er9DGsZJmd4Uqa1USUukcOI1 +auQZrIpotcM7gx8Zx/WkvAvjrZoNbwBcKQSjOeoI5mHKlCluUNz/YebNcDJuzosE+UPGoE/9M9yh +pltAgAjSHVWZL94X5k4ksV9q5RAhdW6wCfoaBQ8RGyiHnhbaLebrkVyGcawsQmB0vJkFQEU9OKaL +UROldDVa4GeAADTGRr7lyfeF6KONXrrVtSNWTfdffrRP0q3ACoKnjFIyjZEeMIAsiyq0Jeb07DBl +GHF60ASzXaid8ku+3Y1TIO9zsApafi3pb+ToM/kTYGrw8lHbKhQxoDzZ9RGe8m/XTq0rer5T3TkL +DGuMpniMe5mIqHk6WVdLnU8yRuyot2Y8YMd6i50fko6QIQ5yDzWHe6dbwbgyqSAo3RIG44tDSXFD +rtIyGa3tQyhp1XkKmGsB7Ur4DUUUfwfoKXOxnFX1//PuOOTcFPsSZobVYurGve3h8edaVzaUD/pi +LObwbjaB07sx68JJEk2dVkCoZfWx5D3XFwAQwCgubFA/Lu0Ml2GXTF8/phZEJbx471/hj9XrcH9i +O9K41EqovFj9HBZDsKSnZw4RVkPEFETHOtRjmMFvY+yXhWog8D8lTnpMUdS4tTa423U8Nxj8sPyr +6yspKGga86CZi89WKysAK9IG5PldsT/vv3tTbzsjo3CtuRUdJdccbzccROAt8oh1it4fUypjD/pm +QAwbLExDqF5/OWoOndbPmoUw4IFrJsDGfrPOZJCtoXIB67zPFAKB5v2ESnGCPP8/Gog6wvcTyWU9 +FWfi/HLyAzfm+EnXd5W6A1LTSAAi01HhaPwaA7D8BjdzkBQezea8Uadv3lpjaC2I+OH1Im12kLgF +rQX6lrRwjJi65DAIAeqdhpDkIkQm6Ux141SazjgczEVKCeijJdbX0CAgaDRscpm3O/1w0qHjd0Vx +p9HT0UhdqCO8VzlZsPTvk999ZnnelWXLUmOiACc+GM3Ui17bzNzqaL38P93loDxxU2h57/TlaKCt ++FdoAvoayw2SXPupotqukDuiLJGhF10C1Tas2t9yPvKGTrTAlKdXzBhxh45j75njThDzSgJr88Ab +yPlgezN4uInISNqWCmezH+lrTRogedqbxKVgjwU4247K3UjlxoHeVlh6fZBxlISfLuhHYJnROon1 +v4W8jzImVJ+/bp1Fa060VKQYVbG4H5cjNyLdzl+eIlF/8IEKVKOFMM6v80RmoOkcw+0/HcnfJdKy +ROeoyIx+kIrzG/aVad8VGs5CFn0TQhZbFe1qwiBzdLia4y+wl3bA3V0SUZqhUipsHaqAsnXvyCa9 +2bR2m183At6NOA8SY/7HWGNH9/2mNWjgXdOOc2gPJgJeMCOWgLhAnU95HuwnqL/iUZND44NXbdG8 ++KiofIUbz+rAmFuAwkL18WMO6umDEUJTwiV97GyjJSYaejF59J7K44P0OwgdVC6V2xUsvEarUqQF +Yj7Npiz5Y3d055qcyHlZLlQz/DGR4Wzwlwl9xYKz4xzc1rnNzgPdwQc/M/6wptCNpTXMKGyGGFxn +/eqLH8GVc86VxtbK21j5Het9mqO9Q4YRG0d9EPOGl0qJV7ijtqkyewgXOYotFztR4HMMgsD/1BuU +u8AASt93vJk05A7CDvnxOdekuoszs0TZAor6NoTOGnFLYTfHhsAe9vu70iaTW9xGYh3wTpxV56mP +CeQq4sJ1C246xb9GPYlCSlK8mT+4oqfdaNeRQMJczGl0HhXzvh1CD/KZ2WOJ6vOIyOCzxl+AnxuA +awf1nVOKdHxsbwrdMLq08hettaWVoU6wC+YJwmXwnvYXDrxDmg4k5o/Ai1BRBRyuDa+Y0pHfm88P +6EJ472SA8mhDuP3a3ZeDRc20vGjwTQJhSkart7sOhe/SL9KPxcvrDHhaXPKIALyt3zph3yf1FMtm +bTEWmxtan6eL46bBbm3uCcywESns6HHl+5v7aHirbqad73v7OW4wp6wAfpHre9TjaOsaklkpmaOQ +i0BuXdDF/T9oZksupT4Kjbmj8OCmBsHaAZ9fl7rQyzn88bZYgZ6dLkpBu/5dmzpQxRbekw8vy7zN +ntQCq76L7jNjCIZjRAdbyMYpCEmMunJ4qQgUICGjVwmj3FTnbjOC1CuCJURw7xwUdAGA62BP+0lI +i/exbfXwwq4MAuA+xEnVcSsTUD5mk8r433+lK1B18KBj9MhWU5EkE/ZvunBSlwmlImo0ne+3IdoZ +XvLxnj8uQ4UV5bFhXCWkUN6Ku9/rScSl98yP9nxzw9lscgf/hqTEHpAMBo+DvMQN9+5ex/MnLbFd +KKjrZS2xxlqtQxzGwkhS0H+LGgo4dVTcXSMtfrMWgaKZV4ILOBicUyLtscxhLUEtHye8zSuC6++S +h1/3WY2JKxxLOG/WC+2XI05296PffWRaQdiAsc9ug6jgeqjsoEunEHyQPztF3EEM8vCDikEHnZZp +HR9pyWog+3Nu1OV+leLGdx2fSeOR7YLO7eXaX8kTTWL9KXhTnA13cBrc47GYxY6EYtgv5nnfhTY8 +qfLNlsAPkUL/BBBtxshEdDb8Yw+1n7GnDeR9MpqhGeH+VUypPNXyIeFfNxwFk87Gj5soXyoub2dr +VRaUvuogCHV6wVlKA/mhKunhGd4PuPEpvrqVKLmF0Yw4NtauUOm513+Aa19sc5pJh4SPAE43m7Ib +cBUTlbF2TT4b5daNB1IhgmbrtWopzAhdJDTY3JmFqvFJKAKot2XaNN8DO1xf5VAdhP0IKPDp7vcD +BnWzpSSdQ8bkQD4ji60Uab8pdylVSorEdrJ8/rL55I23G/b5nUqgLA7vdYOJWxKsIEU1ieznAg3O +yLfDRUg9dEY/raUs7AnzO4pUPYtJcAal1HrhZtCiihj1hgXWlWdXidxl5PM/N94bY1iI39+JKE1g +R4p8J20FF00UG1gIs5J5Y9NVD4Uybn6UUvJOfj9nQCQ24OKz/ayfrTs+eFoHvKqp8VeFstzjK8qU +/iSwS7Kb+mX2LX7HoGlsviMDnPHi+EO2EUE6h+QZxP/A6+hxtnSwOJ3O8S3qthTqZ7i/2iVO08bf +UWKVJp7/19umEURpgEqJGY0sjcwK5XF+8P9S132qDfwN9gV1kvZDOes3pO19OTXnX4+anKbtEMdW +PbWueAuSkqUuWy6SAbbf1bvGL6cD6VxPHuRwYy7uRmVYXDn3zyWWEc+E/Bwc9i2v3XKALUrYy02k +YZP73V0B9KChX4ePRMErxJXVFQH+FX7JBZ0HwYM2ZducSObsB/0pMAnlaQFmDypIxtvEubF0t4xD +yIpBTNnfL+UksP06lSZHLZXItjvQXHMkvzXNVRA+V5oL515N2eL+Ijkb9SaUh9sBftVOqCb29MHK +RIB/1SA9ohtJR1W4Nt7iBLH0/EQ7nwAGfQ+wI/frwtF0yjNYLOD3ByMx2hYDR1hIp3j8NC1ZQobt +DYWqYA70tcHOG9MDbzBSZAZ9BmUpnuN7LCPlJ6V0GuTYplb7eofNikJLuSiRXnu4Kb62Q0mojT/S ++aIwl1/ZW2wModAhK5MKiaHG53GJRPS6jJp8mTYWNQprIdmeedre9374Hnp814e/akAaLkMbqXi9 +ZzRESB97wVdGRnxoCNbXt184SV0K9Z9n3JOgTwdJgvbv8Rpt8FpjRqds+4q5cscs7WqUDcH8QQ4o +mrF+8aztiPE23bYlNyNuzc+OuwPNlPDTCLpHhUS1htZk/iWgR+QCaluoQHKikToHrFRj5bD3qpxh +Gw7m7gZRxxVMFBPeBJeiIWYgSh/yVhfFvOcbpkbcKdv0AzovV0RhbuKHZLfsQnF6F+p/2NAGHn1u +6eGs7cjV0oOUQFK79hVrQk+wFgG0unGQe4qJRbUflyehtDNC6x40QRY4h71XLGC9eqR6XiN09bRD +08arQvBOSOepx0EDCV0cPU280F5DYGd5xTOZRGfGRG1KDQW+GHVuSyjkTx+TXQ6WQo9L9LSFb6N3 +aLaQOS/Bln7haNY8AZRHt1J62xu3cRI6tONhchQX+Kl+hx9d0k9q/MQ2OhOri7PdqIU7+ac0eOrV +q7FNlyfMJ7GUFJDYRlorOG7SecewR0wv+guLT2DV60mQH9MQs4hBoFbHi6yox0q6+2vAPiUA9BIi +2kxb1+6ZQN9VgFOjq2Dw3/hXYXp8vvkcbrl9Cyos5H6CSkXpFxgpi2MIVKccchmsKPRZ+7KU3Uyh +hvVP/JpLVetQxwQU12YB5y/kkHrzcpBDQn+IO5ItG36itN/ZSx2BVF2y3SKUF/TTMtGFJkoykIOk +Pn7N6C0ASnt3qOZtNAMumtIWjT8lDcO1DmuyF9Qg2lQGBaiO1zptoUTXEIXbiHT1QFSGl/Gtruy0 +zeIFHVM1biIMYQukgJoUp4CEPBG10mNRH5cMD9UvSV4SPSu9S0LN9PttLLbczad2mHMRrabn/xti +m53u6eX8FOr6b+GBjW1Ijv54XP4x4LVOzWt7l1/ZV8nLzweCb8hIuBc2OJZ8TK82ins2InmOMTIi +9pCHZRGTUnYIfFr95cXzlPVB615acQqf/xNBXFeKF+CiZCyHjy/CatSfqVFuhd29/yAU3O2MnHgb +Nn+7JIhud9Erp9ewcoBpHQfS2h+zWreb9Jm0MO4uxy1IdB4Y/i1xHNyZgk1FhQcvdqFh+YjS6iHE +N1EFDkwf5Q3T0xgWsCmnettkGgZomplAKzDxZTSD5qnrWRC9MTdHD9XWCbCmb83sLIIplv6nwDDD +btvC0Tw8kJHcmy43VQX/HdYwQto3xFISzMvrIi1/XRVLRzo4UBV848SOtUP8GXyfrcNEpO+2On0t +C67BIkgghpMKPmpoKziwKkp5vET4BwUTlc4Ynm8ap9VG5cqBx/IvWjQtNUKLgbiozsCmHcr/4hv1 +ewP9ktAlcaLd/RXMToUiOizy/T+qLCOUjTXva5M/oBVK4rCWuktP3RTTr4JbEMCumVfLDN6i5K8/ +kLyKZIYV0l01SdK7w0Pf07VqruvgggDRW2GLFhzPHm5ZSehet0OVBuvxHGohAxhshN8RcOnwDH7V +eOYP5eZUdlX4CYjE0y7T0eB3bEBjFlW2xDRTXXzfDZEYq02wOx4RiWJ76fTP1P/YqcPzgZKLjcKR +L+kOYanV6h13XjTj0vzd9+5QaBb/oQfavkOb3z4E+ee+kI95JIZMRw+qSk/7edCA6qilspMxsZFB +RJx+8GYCAfZ8HnrRhkArXJ8/UPXWMReNvOoeT5PIGxeecd93dF5ke5iNcSeUTnGjQtuuL2BkSivj +82OzvntqjYuOzAqv+bck9+yea1gNdOYV6wo1i42lr9wH4i6rRSdaU2uwYxec2m76VESB7v3BPuTl +9Zn1MAz9X7zoEWf9c0jLitPhuvm+VShC3qncEhul7K7MFWqHoa6Wm/PogbAgQiDxWnyjS/3WTR42 +dV+9V2nUYsfeKDLZtUi80yXUNjGGCPjVG6fbjORUBefcTCbvsQ4Sn6s29O/e3u9psBxpB1tifWo5 +wHN2ejlyqNoLe227fCKZwWsG77e9HqFgyAW0AhLIfc3K9wL7+uaVJWVzY2hjzWc5WFS6MiWFnYMb +0vJVv6fog6LTjYngyaUFz88KtK3Znp1H6CUffeQcdAru7twnnIlj1uflYFlZTW6N/z9zt2OiBDPW +miLtbkzcztBW9l8mUlII+GpVZxdKbDmCwrKSKmbRMAZshbFC97dK8KG2B9NtSILzcF8cc/EFqHK+ +s4RrvKeR/LLloe+T9p/DUPOp3XOx0KSsG4rEszuMdnPFug6E+cStAVCIkCij1COAdmNeOuwj95Zz +2/QtPBlvACiHMkEH7wogJgbRina42lLJ6zE0rIW6DuKbddA9B1CUtSszAq/Crs2Obqoel2PDf5D2 +jNsfOTUGjAEa65SXT84WYNezgANL1/iGBqMeHPdaLvpT61daRcCKRShJtIaLLlD5fZSEokNMZJa0 +Qirc29LU98YgubAjYBqteXMkvy9FdRDd0OUlNchx/CMYBlhxghIsUuwrxKX2aSq4InkXKoID33FH +v5hCOcd7jrHMZrEDS5iMvuaM3z3s+RZ1OiZSRnaC6qrG9Bc6pKFdqRaXEgwLh8UEXdDjWGIq9qv5 +gIghU3wu/lFgepOvUXUjeMFvDOagqEaSJ1O0u8ir3ZX9US8mopu+4/FGSEN/rl1rNRNXh6sYqxqX +c8jdIGoySxG/15WN2+PMos7il6+pShNcsnM1KwGTKujmgIBHIX6/yDI+7mVelNj+EgeoY7RYgQWq +5xEqbQ4+I0w6tgWm5usJgpVmXw4rw4eKYLAI/ousI4d+tIR/n1sHaNkIQpqc/n7YoqX1q/sXNnIv +iIRIN3sFwGuamC4pyoJaIQ0iydb/iY7ERb5nrLN7/LD1bSZl5dKgRtfahTKEgFloZPpyiSIEo6au +QWutqFkkICZDGk/zyCJadONnkAk/qC/UI37GYkxTMVI3/vJZWt26nK6QavRxpHq63kNXnHfJ+WHG +qLLIpL71ifRAr5JoDVxs4jV5oK9Ig2NncF++SG+Ia+xikDhaD1GtCpIgqRscnzbHyyGpqZEiECkX +lX2WVFF2W95xzrjRWMWOwgu3BSflObwOp+YdyAMztAcZqdoAvgzxfmeCGvxQRsX+igkVCwTU+SNE +mEQ5jeaPOmRh3M4uWbX9oJ+Hkep7ICrqmJ4+ABhlnX4vbpoVkQv80DCgMNcE5ACWjKzV6Ji4jJpj +xZV3SU9drAWxsXAwna/dqNd9BtLOZ2fa7yqeOYFGPl0KUDt0C3y2BnG/1iZ5WiBmjWv4gRNW6OBg +Is5z3SvBfagv6QUOmEwd/RHqYh8Nf7nYxkbu+vmJMly91vzojymk1bCuLfObFKgfqjxnXnkO+LYy +LrmzV+cnuPxlP3O2kva4RQ1qz4GZ+4xRetcaInKxp4IjJl6yM+WrrydMBQxSMcmbUH7hMocFPFec +LfWXe2I3lqyQmiwDHZYgrdIByySuOwBMHa2dWRpCL0zwygNhzShlmno+1j8fOhO2IBGprP4sPNTD +la0M9suemwNwdupX+MlXv7Gcw/dZBItyW/7wpeXqGPJRTB7NL5f5xf3sEq/n4Zj0sgSWgSv4CsN1 +IfzWxNLnzC35gvLFHOpfVI45E/5APi/hPd7t55T7XQ6i1UJR2NRY1gnw+cYEYYYFCkFnUi+t5Gvi +ppdCaww4sxgMTeyfXNo5Ze6rpfP+Ipmk4lNR5tbauiAGQcPItHKYcwkwXC1OIjmO4M596UgpygsP +WseBmBFu2A73Y826nH8d5T7k4ReB3X3m6+8poFB2U4L2R/NmOB7x9hlS9lyL4NQLsL/XIaPuDtr/ +xl9Gc6MdV2H+YGv/vPAVpxObXDkr+0MS88vy0MY9mJfoh+IqNlhhHc1APRKBEPNuhLXEeaDlpl31 +U3xqZx4RHfzFAnYGMwFHpCV9QSDN0PE3qQP6fe8t/kz79WHjLaCY6ZuGC46OZIpcWB6Jl7vxeVrK +qw0VbQs8AGN7wiPzI3eU+GaAadB4WimcuUbIfyqQXFCQq3tQZc1mrE5PAQaWCGWSwhVB3K7isX5r +Ipb5V2WKVxpHwj097FN/77y7j5FT/CPq/2LQlFDiMFjbnKFJHLTxfpQHWK9f0ScYFyt1D21Qc0X7 +eJS1qw/sn8vsalqiNoC06mN87wiQntwSy1FBluHlt1uPBcAQILDKO+nJrPN+yQwisqWNkIzIUfFf +7H4GQlOBSKPOuT7DhLyf5Z51LR95wFCgUdJkYyy076SG6KNCd4ga0nmLjqRr6ijYrNsHn93AtMws +BFlP6NrygNNFtEB1oBO/ygA/wF9vVWZf+jP9w5GHy2fE9UzIPQa2pceJrtTkamyFpitbZR16Bj+2 +9OHm5uJfLzJjyCxDenpNw1SffWzWHnWqWK7fPlr+t5ZvCSddY4AKpBknZzyrJHJLI5EGf6wbC3GP +bBtjnMh1HUKxhKctGVYzrocj8ZXuNwTz9lbJDOA7qf1LzXQIaX+bBE/OcDxP/kVaODHHySHMWlQX +Kja5e270aVTw4VLOMzRcD+KCn/1d0dbF2U1hANRgOUt7ViF/7A5kdNe24ikQGi66FbvZ3Qq1F9YD +A+7r/wJwGU0y3aoRz//Dyh40mHQLWTbuqy6c0nYDry4+AyUNlNpAetrgTINGpHXm/w2l3FaQ1ghZ +7rhBodVKHhc1htGhaS+Wr7l8qJ+YNYgV5cP6y+8pIicoQxiCDorB/PZ+EjzEb4YVlEPSQ+KrCpOZ +H3Wckk0ncu2J9JSkN/WS4LSU6gdqBW/ng5KlsFcSikSb63wqWHaOI7aZetkR9zl8DUZGqT/GlHBZ +6j2Fl2WoJqHGoijTU+iZtT5GRWu6msJMv3DpMeKgmaHYGrBQO11efQBnbtfds+8u6JSQCStAKAhx +0Gw13IMPlHbRxNkhde37gmDJGlpbM3LZS/XU1UythkQwpwv1Zc8HIxqei32U4TI0CqtQwxM9a2Y/ +ab5Knv+dso7DTVHyOwqeanAEWVS2ltTL0tm5TlmVI0XB1zJIssuh4aS6IXn42IGwe5znqF8LZ1gH +KJNrtmTBiRYOXpnwaGlYc0utvbPRrIHwOv2aSd8gwII0zvTrGhQPc5Jxm3VIby54ei1hd8P4Ht2u +iUc2VNbeGp8Zqw9KyNzU2eQld7NNlVNdVfnD8TWWo2LGdWUoByvOjW0cTuwt81V4hTO70AFeeszK +/KG/vWXZSPxN2vqEX8AewBB7MQqyVCLudJKfVWgQsWSaMcuanR+VhLegMYk0Yq4NaweVxgryt7c0 +wgTtM0Q5itOlQzFrSrrafdG+a7EWq4ry441ZCMYI3Lzg/3PntKnqHJ2oQWGHDeWRGb5Luoc+uPLb +WjL0lP3wsnS4nxLBCpVlQfntiiYasnOr8SS6VQDafZpn5QALCBKGbEc4f88JikNFaubwtWURPuPy +Lx3MMSTW8vU3E70hhms+WYtzuj4qo8qBeiDrfblKjO3JHUGgNCYQ0XX8msIgdj0bezBTqF9T9Kum +U1tfIF7c3FI7hzM3h1GJpDVEHOgDAvyGyOgzquo29ChdVMGWtQy9/3FiAfvsWz5UQR4R0MatN+e8 +85yLFECkrtVnx69VC3SxIH/ImaDaaeil9V7LxmpBOr31GlnRLPDUaRzt+F+YLnuwMEw/lYk+l7r4 +b/7mr9Uq6XLpSyxL0eko/aLQL6xholHP07sIFmq9msVrmMA3qaeXVoi43gTpmDd9eFB1aa3lbVM6 +iTzDUUlfGRSfBFfgXZyrsjQPIUjm9pR4NA5CFT0Acfv6wVFgOeDKC1ra742K0faXqnL2qMcqQn30 +1df+ldL/vGtfc/9x6QSM+190dIPjP+lYDrAlKQa9IlrlhzfIdzPEeAijSN8y1s/ORFs+i2LCBnjI +eh8W5WULvYb72awVpbzkJ7/crtXhJG0Bewrtgax4RMlHZ1nfsDpr3Po4qQs7wD9EOSzsr09X8bEM +0VDcwOrQ3njMT2lCC4SGqh8289BEvCv5z7flYYSqVpVblDzg6qhm+qCAVVHxzTOvxZmC8Yw0zR/Y +xd9nYfonLcVdTnGFm27QeoecFtPi2vI6mfQQKpn9QHx1B7W/bjwtxVYHASDWp1jzRKJnMTKQgA5Q +xzHv3AbtST2s3SlpVfMiC86A3Kzu7wEYetGesFo9YfPzlbTZlIogML9QV4lgnIYOJLCCiRNfKJxz +BKBT5PIKSMmpT+Utr/1aBOJjHGYRd41x5rUD8a05NN8mTpHW4cbQ5H/uKYzAN2nxBxNJjxY/qOgv +bqgjwUr5iKkfbaN6bonuo2VK9GYPiWRBLbGbRbxUkJ1oQr3N4N8SRASBtMB6vM6EkvZBzmcC5HTy +aKqkici41U9Y8Kr34bZQHf756G9/mWpGYxNTgpzUZcLwo+qgFtxp4NlwE5c1uAEYjWCgk2oH+znX +OLyp65UPm2lAdg0bKlSuxevsKfICzcD4KGsdGG8RNV5meUlQGuXQ9gSLDrb4LzptmYE9J4xJgGLx +qmM8dihvXktiTvpuAa7dBe/EHtdV7rEEHLmXFK8U7G7rzg+RpRmwwBM3zvLe4Yu5T/qhj3TqCXNk +lLm2pYR/VvBNyJ/ecR3aL2nk8eAyXFxjqPeBvSIb7DWWpJLVADnfJSF/DbLIZP53kToBLZuYQaCv +IV5W0K3kM5ZcqlQ3O/322fPh3W2hT6YQ6SiS/9c6LkjyhWlr8Z2HuXO1e7AKhzr28Lx6fMKmQ1it +lxjIF9KR6sKCm2U6pS6wuPf2J0X0HmcKyxLVOViykLJm9ERcIFNdTHthNjknZLHkHmOHiNA769DF +TQrDTcVUrpdvIGv7Va2ydKjr2/1E3azU3Fvvs+qarilUV6cvq2E/n+zU6v6KdAuWpnJyimUAVuQa +07kZZuv2t6KrH7mmpL6sQYm1sQMLG3jyFDXqGzXok1be04BW/dRbgbFBP9TDVk7WdBmSnR9LicuU +GSEv9zjnWt497An48tJLa/73dQfY4yO0hncrxLVvfe9W7QIoBEDet1YQ74+v1FwwNEXf1qfXr1Xs +iyzy3zXg3hWWxMdYGp9YnbQe+cfo3huPSRdX4CrXLJ+lBfdFrFtvphZoyD2QxhS7tLvfX8vV7fMV +gafEteQMrE8miOhZlIoFKhJdqh6eh+2mp30yuDkVhWlEhRYAXsPH6dca3uLrUUpF+Yp9kYlXEAPy +vubupyHjLwGTNIcRQLFfhAJQZ18LSJDSDIcnCYnmIxLDdNKxowRGDZf4kItKGaOKd6DjQnmlVd6J +qKTnMMvXgScIrtRuoL0E7tad7hlwh5d8V5iuTp7bP7mlFauOsluCbOQtrevRmvtMM+lQl8Rl9eJx +IVvSRpUUBAfYMvme2xZh2jR5sm1073G+Dnr30jFFD28efA4i0g2xPqhgt1N9PXASndJv0fRaHLao +6yMOQ+Q4m57R3dfvWRvVSGK6yPQPGdAOwU6/jy6EtL4ix2QlDczGxV2kpWfar6vvG7v5m+mfNFf/ +pnk0EC2lkdu8PkeE+tfbfniqoiKQ/tWXPXjRFosG2tPi9GfJ3gx1XvrX0b1CgOBwW/AtFR3/I8d9 +MoX/YJuDbsPMryBR/ZOD/OnpSrrugntLasNM7EIbCX/5gG4OdbfED0U55OdgSWKJjtY5pUJ3yjyX +6Jfm0r4AqIgrTlzunhjjYcl2Uxj1bfPwU+4kcA/Z4x2vMVoi53ByaQ55jAc9GQSXzlqohQJdz1hs +U66k+FweYMtB708CgKYSW1RTNgTfnqn2lU+WNGCQt3qnFE+FEZvA0F2EChVmT+hs8r+yazPR+ZTk +WBF6xrtwguR0yHWVKNSzsV2PS3bP5tqbiQUK2GWyZRVWLymaoqXxOigU4gBpejUQiiV4DL/VEIxv +UFPDli6fO18FlL0lVXpO4dQPTlG5QNm3FvoACuKKhDrCm8PK9vFqEXJ2m/C1WyKHFx5kozz+rJq6 +18MHYJU+mU0MF8llsqEIjd4KC489kFZQxzL6palFqkXslsf0eWrAKoE70+DLhPZiOkQB3hJKe3Yk +9pYsfXlwqbOMadha31ZxUep3qycjBD8QbESOtu10t25c57JjI7Oao1xOTJkmMZwTap9tmz7I/e0m +k6WzFUBxIXRnCwkSI+jcl+RvH6P3AY2ozKQTcAxf0EyvVb6yFVw+KbcbuOrAF2c1NXocNptXs2Ef +EZdkP2KdQ/fTZ9NCvhlPXQ9qDpKXdMGNTUZ8IVsMPjbvhIverdgldXvSMVkbHx5M2eScUPZCuFox +Puft2X2G8/CzbjcAf1dfE1RN3e8Y080THb7aVPAniR9hfI3pn+voKpgYt96SbDoO3nUJtN8GbSAe +kOCfCmmp1U/ioSmyOXPy3FAcFdrsAX+QYOdr3dDj4zVGMFPPfgNDZi+Pv4a3EVNneIywzY3PbPlP +7A9vRWLqmR681ds8CStHdA7uSkKm5JZbKk2fN30qhmzF6UsiQJXK8QQjoZ2+pTDP3B0vJLOzR4FM +YrEC3XJef1gVr5zgmY1OejPF5S3k7/h7BCpMc56pLfnpUyODaJBwcxYrmOJLHusZpWa0MLbMqI4K +NXmavKEUiNxoXW99CAaDdiOnKh/WWBQ2Hd2lOthCm0OJIpfc+aH2nKJu6Beoc4823Hpq5fmVRkL6 +j5Y7Lf5KJo/sNqjgzeOQ7loaUzADjxD4WB8A+2nCOkDW+HpIQBAxwegac+Jge9RkBmy20NWnGKvY +fRCj9dZ0HHqYlhMGPvtsPgYRJjHMLivWSlQ4sA+3n+VLVIFna7hJIKF5CjXKT/AKM3NVPQXHRfGT +XT7oWM8jaE1cZQdHQ8U9W6v+g7raOfMJSqGMqT867R18XOXpytusChRvyQ4yfHVOhBYdQmzTzG+a +FCVpszu5tKmWEA5dkq5biKQH4aHfBV3xGSPeOgQE1jc7CLM20Mp26NRRj4kehqHYHS/3bbiBigJq +S/6sRnALO7RmawD5DBUcxEB8UhP21qM7IKnuk98UPxNmpKz4c6DNKPzmD0l1BAFSjG4boe65mZfY +55/WmcGxZqXjj9YCdJ6eCJ8y8lpdwi8emw75SGvWY8zOBno85IBYjTk3+lchEIi7UulK4UwgK5u1 +T5RXcTvVMu8BiEX5lpjCX8wph42m1UfCGpqLTob2Xl09+B6/xGfaPUUBBUGkPB/6wAC0ugJ14sOK +Gd0ySXaFKsCWprUkN3W3BFdR3WnXWLEfF3KJ9Wur+gZdVWp8TsZPBkDfCu6/Rcg8AZ0krAo6gXdQ +vHMFCFbsJ9u2uySs0tqiQSbxvtwraf+NS83QSyKg6cOiW0/mbMOCH6UZwUmY5T2wKckbvHyoWj9u +J91ir0nHiAPX9Th6mGglIqSBkWU5XDpjykg9PV6IYaTPqoiO74L1hX1AOUY1+uX7sM4tAEMx6hUo +MIHpaql4AtDL1YJ0aOHFl1Cxdcj0Sy5sLd2idRVlhmESYUOQ5MXjAv+EhDFVqrnn0p/WRcj2502R +YQNKICsEjhjdcsdncIn81scGVXucuElXd9YQhneM7poxw56epg3jB8m3oPoH2AOuwJwbV+TmGghV +eesD0pBaOyAqkX3PojszRqFmGQcLBK6SSxnWLTkGGvODPNIn7n5XWENdEAZVCYzWLWuPgjBth6qq +RRleRbpbWe4s+BztUJB1XIyg/pOsUSZYkbtmd2WQOKDGVp0zjSUTFFkuWo2yAboXN+k2dNlFHUzO +NVO40vMPJrq5WhjlPbefJWJibVWenE4crpyWhrB0ymF95yNkUDv9cxtvQ5vbRELpS+AoXCiiFiQ4 +3GJt1NwG1zumg7sWy9d8kt3sIO6ReMyl4051rr85gTrjc4crrCwRYLEW1E3oBpeSI1QnMUbTJL9P +tC8lRboRUp5Rnu9+oa9IxjzBDXd7mfwalprwbRKk9G3p5Adbonw4WmNKGWASCiwhl18kkTG7i7mN +mmcAAN1JCPIg+SAwIU7nNGWrw2JKv0a9H7eqS+Hqx9aEQb2OLhS4Y5wKl4lD64uuQpH5Sm3EVvs5 +Qdu0gmpHw1IgQriFU84J8WztJo5Gb60eZfm5q+1ohdd2DUd4QgguPZgoEW4bkDnqT9UYCvfr/lQF +aPoFu7dOsItnAXz4+vVkSLd+qndrg5mHXHvTq9Km7wUvA4xU7uAFcfI+YI5X5WjndeQKOy/VsemS +pr3jhAqhw5HYYQBr/COoicnz/v5kJxz4z4X7FH3ce8B/E2a9CLhcLykFIew4I33Jgj5aL7lyRMfS +hCj4yXfM/q3LSnmMVBHuig/ScJK7EGaKocd9LsV+5LpDKqGeDzH4r2g54uxVg+V2syZw0Hqzpzx9 +7mAeT/z2Lg5qRXsJhobjWrqo0mXh0qqhOJu0vKaZ5WP5WVoz9iHN+wqo8K3IAwAbWyPOjYDInDj8 +CQtnUJPozKz6GHAo5vC2PsLNyE+P+doVqBBLsPZOAERI0JSacStlDYOA5hEnA/bk6RS/9gP3sLUW +xz+cN2PeDnuu6FtvxCxfqJL9RSMnicUrKeYiUcsK6DtTDig75b8jy+XlkUdAPMlnCsxqs7aTH4mk +0iCvicy4qKMrS2YOYMYYC7Ptsx3uhlnMKEwAuq0GmzWNVx3TTMgV/kbZzEzzYJjvlaNHFCrDHJEY +aTnwwf/YlrsXT4qCcbHCnib+9qb8fQrrOFFVTomJIsORGsDGAtAjmwie9Gz7QVTHoRwLDlhbVCfY +eoVghbq8oeXGWiAJx192uvxHPiNdKSI6AYCld35Gopr1Kip9zvMQJHmia3i9s6enYATjB/3DYVoN +bOe0lfkmywiqdh5s+VEn417qYv6Zti8uNs9nhWSyyEE3BuMmzfo0OfALtA4Wk3QJHuOn7HcQrxGb +QkI0TFe9j0UYgMMovJLEBZPNZrik6+pmzdF0YoKxFj0eKlO5Gf2m/ceES3f53kTfkiNKJ5mOOGVw +zdPMt/t+mauwJP2lsTrzQGFzKy7s6mSsx7BBaMfxp7WhfEyPH6PXvA+IRlkfj9ysEFf3RUGLXEQz +B1dX9GV5wiHAPb09v6tDPQyJXaZTEKFNNi68mmMJQCWJn3571A+wMw8gBkMQfdEJMrSvYL4SlbFh +mUgvQ9gA5RPpA0mamc+xMEeiqHbvqD3vdPidqV2yBnxKPXqHga/umEetNrkZS4lTAwKPU3VWdNXm +J1umrluT2d3m7pt+KEyVk1NjjQYbY9TWDPbt9tBI15OY1Nc93VnQX1yxiltKHmgjNrcy3YXS5u/3 +0+pEL66c7CoBP6m9fxKXe9wU/wwkjuNyJP8dyXO++gJz/w/RCCgdegNBGn/K0az8mU2J5+gEMcnG +zfLAeA3pj/jrCgD/Xgn14y1Lq+/TaYbRTOpi1U0YSEU4oP5Jl8vrOGzakbM5E4/H/BsYa744/jFc +Xs3P9vG7Xjg565b/zSwtsDeIfzuXKdVTdY+Jyf2uzqFtw58JMgUQSRmGSp7a1DAyTbK9BmAajBIa +8xeoDpXFbcHRvlounCHbhFAEnAoO/dapXpkwaeC1vMuHndK6agpk3kKkal9EvH0zx+Y0bJhDm540 +ZPZX9lkzeNf+370j7i7+KihJPzBsILBsYMkVI1e4ibAhVStra/zhjUt07hjmeor9R+Sa6VtAFIEo +TWdF6PD86lpBW28Jv4NLwarzuhO2k+ZoW4Gvisg2ujzMamnILlyC9rF/VoWcE5sGTakfeSAwSeJy +LROuRiH9KPwh1yhma6vIjpbqsYrF/nO4vNxNr9zsNiHeHdVo7dojJL3st9MI0zDk/3LXwUYMNVO8 +RmTpbHIb2apsxhHRqnRMvA5PoCQd7ZHe4zDmP1MARFokSlLBYh1EajvFSGOWZuC91mXFJUyePGRM +GDlQVftWC5nq8GUKpH9n1nfi/j94WrwPsk3zgNdBpSE2yF8NXAa2zz1xXPQp8ikUwcE7LjaJBX23 +qcUcI9SAenbah52XR7wsF0FI+xW/pFLe3zjxtzYTAAGBXlkmEHKD6gj0TyPfX5h/RC/OYvFODTKG +T3ojMJZQ9M1sgvp1nQGKkLn29noRvaTC3WgxDKZ0g5MM6wXf38adt5xjAAUic4p2TAloZHOukp6b +2UQpySW+6yCQxzRwlH5t3RFcaK5/5OQ+PImE6n9yfX81Dnnb5v+B8uDeAjNnrjtt82u4NeA33V4k +S+Speqt0YT5REal9ulmJFw9AuK9sO5jubdu3xcKHMNLZJuS86J7HbsXoO7Zfg0K+oq/vIifuLGZG +q1GNP2f7OBvWSiHnPsAi2Uw1lf7QpKMMoylUEdBNy5rumdKAc5XhITX64LuEISBcKRU7QCRnJp6V +gTTtk8SRObVZ5lC3/SzRVxKkxDJYNwRSBGA5kbemgbXpdL+QVogVHOHbfCpqtQoCC9M2M2KckVh0 +HPPh6xMV5rYP3W942x7+hPwPgqB7MvSxu6gEmkJnKfHoHeSozKFMCyZ2W6fT/6vUN9JhORs/GrXj +ECjJmoC+Ibb/qI3t017KzgAnJT6Y00ANoycaQbNU5Ndo3+eZ5E7Rzlcysp/9axz4LN1qm7Yg90wR +I9evevf4d++pOQuh/7b7DHx/fofn62fHyeWjzRJ21TY7gnZsy5N/z47L2t8pUgTOrzsT6UdI+uLo +EnkU0KQF8u8Oi2UXaOEPXcrQTeUht1HKjPObL4eO5kSmmHO0QYdxNshgLKqeKk7E0GTVqnGTZ4u7 +ew7VuO+1LoQXqpWCa3SOZelKOkLOnGAv3nvqyUBP7qLShEWPfDM83L6vAJ4477gCEtGJj2g4LDiD +UF7wnGL+2p3yeCYZlJacmRB1VVfr+4PZp0bs2LB+qKPxRm6FFj2EwGhVr6OST7tuJ660fLpFqQIZ +SmQabj6r1KpdAcZ8RKAGe07Pef77KdyzSaBLqcsSvILVCFOIUvTDGzTMq3o6+HP5pp3x2xhEuXa4 +5b8xyZweZO4GNgRCDz+qrIJxEuX3pqd4fMifjgn/2EIQilHReqrRNu4BaqKB4U2wnC0fbUYUGQTI +wXMqeQUvjU9VgCRF8A645g2jiaCYOUtcMJr4G066Vp3K0LFkLtBiVu/LwQAuT7qHAoQNW582wXFA +NPzdA6bNjVGn1lo3fhDScKTLDrbqe93oFyqsTxCEhbgH/clAAbgNq1etP4ltcSulmMpLETXxZYno +1Tbt90RrJJrkIJ219SXFTB9Uq8UXlwuohDPwZugCeTr8TkNiU05OPgTityt7ksoPZdiXK0oluNyB +TL21n0LxMysKgmz7B0c+nM0qOqKfqWN1io+OLsNoZ+ulRN7m2Btm3R5kAwb6cQlS7Ox1SL90Enxv +ktbvERXJOtAjlesXJdMBFUBku2i4wxIPOyCQCnH9xBlJ0YzW3D0OwNBqoIqyqvHH7CetJ/1efo6V +1buY9+GbrYfVgyXzev79+bkwWLgfD8SwnVIBlqqqBF2xG4sOe/1kRtfNmwu2M3cH1YR7c00SYo4q +Z1GYmQrSaZuy2ZdS9cH3F2leVpZHSnO13zpfPGHyk+RkqUnkEy5jTJnykF9uBfULzMBgZAv7DrmR +pgcJxNWniRKVGng1dxvL9KJ4bqFWHX+o+3WY7zXAcKnr+E4HuI5MM/kqWvTIaa9+mAodF8HW6zX5 +U6KziA46UWNH/SmMqdwPduCjYt1WS7hyPKpsssM2keKc0XtZWf35cAtrBPZTkC2cw9FpuFwBmYKu +LtmilwFHlw8p0jpgiKHBAWR81FsQWzCIrR6rCV6ma/n/XFt+FKOg3R62cKMnGRBGMijfq6N+TemW +IDxhcJqjthVTpeCWc18lEwC9vDLGyFMTMXUT5mWO7WvwfPDK8A93mfSACVMp2hUheqSzoPbXqoMx +ZCBTNJ8p4vv2mFWdnx61DY10sWuo27BNUKfXWuS9X1n9V3DfkcxCGaqGjkHhZG/k1oXSGykJyLyz +sMO63ho6bYLBn5fV0+rEBgnmQHnXAlytKkH1BVD18uBSpyaheMXIFXkXjnnxXJbL0nucQ+PpK6CR +s0Fz1XwXE/PaOig5CmcalGVgCqH7i7OR8AkmcVgu6u2q4g7kFioKaEPdT5p4v+coaQ24ceiv/OiD +n4F8xCJiLqfN3RWFllDJZYg59G1FwmVvVvRNQFA5M41fQLxEHSVZ+Qq23KH8Y9/+I6KcGNCXpKCf +fbmBhDlBBcrIJg3U54oeUpj8M8SVW02CNyjaO0YYnXEAhW4oacFTn1RarWNzFQUVjWWjfPYcmPH4 +gbEOr5TxgQyA3MirdQTn5It+G+xMSIjazyHc43vaFsFi3ITnK8A+nb2vrgoHZ1JSfdSFXRGgFY4i +Mt/yKhUG6M5AASAu7AB2MrtoESYDdfdFkdjexgihDAGKxqfHen+zxKeF/yOiqkFFVAervYjvEsL+ +Fbs3Z6nyxwjVywCCl4TMe8DumrJnRerXdhZnncd7qW6UFz3mSWPwPS4tX698/9J5lhC1qmSx/05F +jvNT1GL9KGmLj/zT4F91JypJ/ICf51BDvNZYyAdLroLgYLKRpY68eEelwwHWIj4BBEIaZ3godqr+ +ehohaIH7OTr+dSgjSkx6Gp4/y7AHmjIp8FihnxC4zr8m/rM9HYY3M/byco8w1mYd+TRv3JLCJ4hv +ihF0/pFbV+j40Vtpbeuad+YmIoZXzO5+BdGrAuD3eFDSewjtDJ3t6dAkbtqs3x2AQHjLjoSnyUb5 +ncnFukfRtlCBCcw6GzhBqekmxSQEU51Vb2XBJC64h0Eu8N5lJD1/qll16lzR4YHLf91tSIlWs/eP +aqO5MWZJX5VQ2eW6C6aSlohJh5DP6bE5E9luvrmpMmFsSvtZdHeX2jlkS7mo+CuTmiD/kFbS7asf +0eyJS0frRATfhaS+ZmNCZ82mRgIu4/ngFcgXn9PaF2IsZAPXYpownAo4/e8LOuT2AvIICs+w6K8L +wHblB/pKLb3k/U/wlGE5QCRdxanD16q78qixprw6NyzdSVrmH9gYYI8UnHPpR2JDmajTm/1ExGAM +c3WgwRy/mE6t75bXq35qQ41FMCp93CvpcL3DufFmN4C2mKd9siqCy9dR9hYt4M2oz+LlLxdGdBL2 +5Yu6yk6e7ssgIb/75PdhVXyxVPQ95IS3+ntNW7ShSEgth6yO9Gzpru47YVVwa7SnFv4BSKY/WhXq +rbCpkz38kp2jrXs7tPsux0ttHn8KDQAsB7u63/ecyo9Agg3TdyHUgngqwlAHPWxfRVDDG5KNvsjb +pbf4vXU8Ab1JL0f5yKfXqEBMstKicz1qO7HerkFanCulboekh5gdBu+R102kySQsgYrmD9h96sXI +XPscFDwEoXHY9y3cBpLfjlgOTJFzCK4J7d6mb4fQHSxfw3GHGVOCe4pOxfNCix/xemArhHgXRIyf +4o8UsEO90u6aYznlDp5ITkhul20yGNrxopVbOeNaEU26qhpiU8Ky8x9+xJX8ONLZbemYfpZpY4EH +e0O3Ba+S9FfRHMTtqLy03GBGZLA/V03nDKaZhfLtY9Hk2fV+UpiCRUqAS78tMBlJRWFnbAXqC2Bs +pqLOO/bV+cqJK44QDNJNU8EhOO8iY5pfkAlVseV3lMc/n8pAJgQq/MC6ZNDcCxtsT7uHDJHVQvEW +XPZ8XyPw64l8MOquY41T1FG3gxL2VpfnoCt04VsNQ4OddsTN5dG8pXEBk0N0tKhElIvKP99qQimp +wg+zPKtsTbCpI4YJPxyqorryG1wJRq8D/+JpaUqjNzpT/62NJ+9/xeHBS36S/4kJLuJuelTRQYLq +RYNNYNm9njn7qQjgZ6dYBCMdTAH+UlHdjpaZgYgvgU6C+sDpCvgEuQfkgm4US8GnnYAJNP5MpE0l +KZWtJOWrWHwYOAAnpRLiWTFRhOlgj86jTXbjEVhKNxespfKnUxAcnPoAecMrnBycLmnI+Z59y8T9 +ds+Ar2U1iHrqfHbDxWKn0e97L2vY1CE51Bhy+hYw7GFlCmnbedZbEkOxnjZ86VX32VZQvF2ifStt +ajTr5kkxFiv8sByg6JxMspu3XiZ9BljzStSfsLfNNyZdsex+zEKVhugdnpehw155gOcKaFIQBZWR +jSwabGEmj1XtdSF5HgtsVtcKAcScUVrfY8/ewnp1uzopbRgGA0Kj+kFSEpMaDULS+WRh9KsifwIH +CInmRAxZuXOspWpCksdH5SqWeU7Lmpg1s706T1x3h0aOFLHl89y/810Aec1Lif02MCBeDCQ5udwc +85gRjYci4qai6bomvKkDap4X8OjaWmD7xZk/r0ZKuvEmNYWTfeFfkk3jwjQ9lYvDV/LAgB1UUz5/ +o9kQpmb0/pLxDbOC2nAH9ewZChZaOUtqKIsWhNHEqJGoXjzeWoPW7evuKpy7tamWJFA06nSkYNCh +RY2qs0Ie5Uj/6vi1ioFqLCzEPiBtNWcu3Gk3Rj/Ly4MexAzb65+m7X2Ex4S7OWdIP5McsxLFWyof +eSpGkRWTWrjTgfQNkhWmIgMUC/mjq3+ygzdqXSTzVfeZFWNgcU49QXb2/zXowiF49544sQh5BBqM +dvZC3DJ1V8oiKZIh/p4PdRU0g8jBan48MnN8ChkByjPAEVfhhd7dEw4TpkUaX3hQUtOvadfKx9Wq +ePVyxfL4xVFoC6lul3zap7CQ5JGlt0wKr53bjeVzReR5k2xHlJgI6Jy9TK6vsmYAX7LBvtixXrjn +K7bxzDAHndu4UiOWlxKc7iYNEvwp7AfN52xPRQwb3c8WwojCd+UpsnQZJhHRSQqvkEvF8ZW6bBsf +S3RomvMM/JWEKEoHyMdYVUqQ+3Ep4HhDI4KyYoQFCqGE9fFZT5OeGFhl3dWRaafI3w08TexbGOBy +9VGCos7hagBHuCkuvjzzSGBlZ9XJXayiU2b6KqNOB52mpbsK2IOGoq4yCrbAl7fZZXzKlaN5sLvW +XNKfsvWVw5vz6muvLzK9gIO2nHCa30ddI0Tp9U4dlGyItUQGiwokBo+3uHtYrgeFgMtUYthwqWKs +0pePz6egDwANcbPHPm0pJ3K/imzTd2JrW2wHKwXlroyTX4NRMUo1tbZOz7NiQ/7MD0M3JDTeUYWd +vvuhwLbAToKrZJZXjyn7SzQ8NX+LeIlpSDC2bQ6DW7gNgIiDOoWWzOJruIvI0V1a3kuKsxdPY9bH +/9L6I7GYPgedlmilRZixy2fKskvhIavJrgprBcZjS/pkkoLsSW5C3e25uBL9aXBBokJviLrAHXnF +1GJvfyd8PMeSJgZqXjg3oO5Q8PjK9fABIn1EgfXh+qiy8jJR0X0eS4WQxrr2mmb5toTuv0aNHUSv +b/xXkZs5J+/c3ecbt8OYbdDOBq9lUQLoS0pZh6ZbuPsm6rOxaBcRNtLBpcBvoKpqCl+EI+u9Lts1 +AcyyOb7tJ4JTZaRDWF6fJJwv1SPEQqqDRM+ll6h+mIxCqBeb6P/pFAngDl9vYa9cMDgJzDpi26Fa +GQH0t1jhyy1OtjthyDyogGmkb93LCQgP+wbehtcYmUQ9U0Cof3OpIrZT/hnRlfb3AeXEFm8GLubX +gMz/DlwmKVXMP5lvDQQLoUslgLYL4gJy+oq+SW044He+Jlma0nmGg1jnjexK++Z4V4cQWFc2vnO2 +9p0UeWERIcZ4akW+eslL2CL3f68IFYKAEWZGtoB+MLuYcUzBJq87CoP7L+RVSKDwvfAB0Crq8VrC +THFJTxoD6zqDzrO+4wxTXuGw/7bC+tKxMFUQp7a5aEdFt5NNmY40bHhgMS4cEuaW8CCktOPMNMR0 +GpOOPKxCbHmN1I+A9TC8CaE11yFyXfiP65UZ4MiqTILh5dwkdWGmZidSIXfjRAP+VMfBu0vL9+Se +xevDmiTeMucslqo7f+f+LMilWt8d7e11hg8tgVDaPPsUylQhqk8YbUcX7e9CQvhXk9TnXCQX2Rqm +5Ubz/bSUDUMtg46R3CBZ0o5ljQQCB7/TKzEDKg4SQFt6/MwLdTugJmzPngVjKjUzN6dlBo+IZj6M +4s3qwng9BtBrqfOooH1OY2OsUrIPqWltkggA7OaZcoTYSVTVYnLQqwDzItbG/3QQ3v904+yhP89b +LhDHaWRa2eW2tLimoG0Ivbh35VkkSYgcxrGrN9CnEZpPfSMmG4TWonb8tVwWZfscDKA5WWlTqC9H +xqzOy10M33Q/1b3yTEKdhqdzRZcdGdo+K2rOxMdphIhXrhGeaSYZaEDU6XNtGW+Ia8y00c8gc9Lw +WJR8IIKsftff5RjKm5BfjD81iMzooP0bjTiAGADgku0SSLOZINlBV9M8aVX/qC98IDuZAMk2+UFU +K2FSVLwvA2DgtGQgFboNaGZUX8dBdQtSoZE5FJh/d5WsiEv8f7RycFGcuC7U1teU+dpZaX7e8/5g +V9WRANE9Pa/MoOt2L/V9FaHpCbwInHMJjCnojBssXmPzXpQy8Bwe7xn6zkMbsMcphKhxQG53m5LO +SnhlGAyjVltHhCre37Ek3ENXiAKhTFrGgaBxixjQnwmFqIbMpFNpoHuE3qxqedITjnUuq2EEYX5+ +5ygF0TSr7YAmQY/ht7qAEvVjE3JV6nh4FQX0lVKDvfLCiVSfXw3twlNKwa0pqnXBLJn4bThITgox +3JnyvDVK8BPAjOLUoDPfYPA7i9+q47aF8x7JLW2XSM7V90Sc7fr6gyVAjgeP8uJyNCZ32Xg3bWY0 +q2ZEcZWZKvMGBGD2zAIxFcmSi2fc36O/QbYHB4br0lFgv0ogYkuIjNWYyJwJTruAJY15eWQMuZty ++eqOO7soe9td7RcMlHkYqYkzXaHEgHshA+R9dDJ5R1y+UNEjl4BJQ2niZMbLzGBilBnmeI2amJ71 +8a2nRqcYZM9tUEOytlseUxZVs6my676BTi0Wg+k+k5W1g6WsP5DIojmGDXvnr08PKplIA+Zug1j/ +HVR6zAmHnlhHuU1ZuM+SfCKOMRW7ywJzC4DljUQ4kqFdZMUDrf56TJSIspyfUut68ADi9zoTLEkV +H0v9xQqfTGd2IcnYAuNEQsW689WbQR1xaWrEVA19wn3c9TPZi3o6wK1Dirwr1GOXcj0bTR/lc7Vx +DWVx3xAUuJmOlHvjZjhoGVT+wpXWKs6gy1nUJwS3bKZeaPUWEmqneBbbJ6OlVMX4QNeVz8w1RYuP +geWmlElQluxs7oeFkulDp6BfkFX1rnQQPREWDpBeVh5jXg3cwv+DNcvhbXc8nBxPe5T2hkm/1IzS +a/tSoKMSmKeUHPojvFfIVshsgmCTx+MjcKWK9+jrnGB0E2LXjpNs9gXDjc1Hhr9/p97BKSvR/Mpc +J+dVb+Ec/MMf5YHjYXFWLd2hqelzKIXmZMv5umFhJkQqvqbAyg6jEecVVXOAQABEJF6X3LctglO5 +wthHkOmcViArzgvueF5FHkLAibd0zUX1KjBiiaMqfEhcs/AbFYgPOnQJYQEP4S4hQjrfq/n725ev +bn5kEwrD2HD8wM+yr5YfLPNMCT5NhH0561rozZ/eDYyQxVsDazluCKNIoYl9dpPMaI/f/4A2SdZU +sXh0maX+UVgMHC6YrkrGyb/6Lz8+BxxRncYy2DGclB/+zTooHeOUynrgcWL3dnMyg6tFe0I3sYEU +mk7JdKSsZVpERfH0ykUSHk07A7IY1x3GowkhQDj32rVHU21eF0VEumcfZ2sAl8hNMxalkrRojXXN +dTV0cr9k06m7HtnXbeo1IX78t/OVhxOQGcKqp7fJDfaJ67JGJKfacSG5t7Es7aNAbx9500HVycSy +Vywob7fTQ6SZbaHDjKCldVzJpSIuQCKPkkrsRtDi4LjaIqEzuOMRnoAj3iOQgZe3JTvQL689UxHj ++9sZpjV2UEM+VOkq6K1X2mOnhXoaOVSryXY5j/fNlacaWmSc5t4TYJh7LjZ5z8rgQXQm1ExRF8+H +12jJMq4cffPBetEoCwDtpO0vqY53vM6mExmSgkNliHHT7NSRzZ/dGlkvke1wPF0ql07XLD1KHYWs +fXe8h0qPyTv1I4e2YVTVeMM7S6RnMZdZkCEcjIfbcN0PEkcVUerni9LuFxZFhwwtxs7eeZjEIhtK +jAXBSkk07h4sM828Iwn24Xzo9Svu/tgulofqASeYp/gJ6Gy/G3Zj9cSvfKi7I4NLelcaANl2kAha +FIUDIYraLBKH/R+00OEeH47kTcdfu+0Nvn5Lmn6gdeaFrxFaOSFIIiuuhWNVU88xXG0FxuQD0Fnd +kMv/k+UL9ECDvYZo83Uf2s9oBp6ChJJKsbnZ2Q0aYXSS04CRe8RqpAi1YZJsAfLSbiwwC43Obh9S +nc4IqFirSzC9DQZV80ofc1pmxiA9bz9LnuSz2dvDjOys0bTAKFWcT9MAdOF2h34XfTAWyZuFIBPg +urYd69aQ5SGqZfIO0COg2CnfYT+ivGkXEiDGnxJUXTQi/KVJ7pym5nLYbK5IkRiLvJynGDa9EMMX +H4qJGmbnPIkL/3C07TVhbOuL08SiiLtFYvCljijpbKSFXl0Sm+FhHZCTZMcdOvk8XhReOVATzxC5 +F+VjBQYxstmGEWAsZzv0Z659m5T1noq7H4TlBLH8c9iSlgotBDtRv+45FXv+Cnz9p1Gvq2kSsl7v +jzCKTp9H9ODzywAIU/xQXh4ENhMr5pDf92Qiwt0jG+4Isy4yF/FqoqA1NnIqaBWNoCUlq5lDgJ0p +yXDYrbjP5FN/nw5TL1sijFOhbFuSjdMkpu1m+q8LsQyZPYfSVn1yIyvWScKSo90dGzOjvS1172uN +6I3W6OUTtW/Zc2afTb8L5kK3vPYAmC02+lacxO06Sv0n4rUUJCoL3eeNUku3eEQcvpCG4EplUMZy +M5Dyopo5siNueR7JVwt+BIGeOWcjf0bq1DMYT2vZDKVsgk6Ve8qRPqB28GLNor1U8FRAucmLT4TS +kS0WkbMHkdF5whW4gf9DL9YoDJ+AznsCeEMk2eAJK5A9jp0LipNuZ/5YZ/shQ0b2GeCRHpW61GmS +EseThXjjhGYF2Hd4kl7ubXzuYy6kVSy8r4j+s7RGRpqyRZNoC8J4w9JaGrFipyuA7bbNdYJ2fevr +HDULkVqxflXm4jd8hec3yCy74XADgc/1Fk1rzXu8Z2JA2sdgxHKrEDFRdBY4eFrWoRZnISfFpZO/ +cAeNSMjic1YhDy9Z7DS/BeM/cYPKzco7QHAXPUgEVCE39BICTxELWAv7LMZm0TmQKtMXhYoLnujF +gwZ8pUo9xGVy1MMZAGGIRKIfeeO+V9FqX0oP7gjoNIEwREwKf7lAyAQdZ+N+DYki6l93GeyP1lbu +mq+My1GuBE91ucSvDi6UcipggyJx6dsqwCZIAkDpX0xEiD2MvFTu4uyuqAvRFDkNg7nG4XHo8GEj +ggA1Mq7WWe8nCg38F11SCg1Qz90VBRrgZTn+RAhSOUrvwKtdNhHli+UTAHRYYGXXzdfL9dp32eGk +qI5pZL+wwg7A2Rtq3BnxKIlPD1kVSsqdnAlwPDXmYVme34quOJCH6jb4dZgP51ztM0evbbfPSage +32QUbiPT02dw9ZENH4FmBs1kVFTbJCWie0btljaIF/eMh9GZS7cS5Dx/y3LRwIRHMiZBNE9YJQWN +yJMdE5JGhnuc2+B6z6+vjH9pj+EuyV+b/QFVYAMFjdsHrYzC3ZByVVNdPuZU5aIxf8j1A64lZh5z +n1fNVwylOtZCt/OZIh76YE7pFkcMa9kRgZzpr8ZH5ktiq+npZTWkbyeh70VODp1WO8h8xLzn+O0F +6hJpApMgJNIiIIqWFxtdTFPvDL8Auz7KGKCVnke/oDIYyK3R12/+2qL5WAJPpzLnOZOpI/WbIUDF +RRBWYDY8sq0BXLBYrj60NVizQs9970Ll4uqhGdCA16+lXpIwlhTmYuhQC+zYAPd8ewu9SBPnhevG +dTHp/pLzvztkurDnog61XTffqFIZwuHW1LVkhvlgxK+N2pHYeN5RyoQarHL2d/i2t8Ht1LiNDylH +yI2iGSIhzIOnq2VBGG+HYq1joX16vfZhNUmXvEKkX10dJLGicjc3+ulbP0D9tRBCAMt7k1EKEXO8 +imib5kCzNq+TOn3cP2UwpZ4UxeV6e7xVLbczMKiwGv/+tQtuoD3nwuBnn8IRiERyBeIdyJQIV9Ua +Sv4E25EEsyfEssTFCTiGmfY5YPeYGMwk84pluGrMy6zzHs1EE1PfnepZcC6BWlSfAEKsCn4c9i9a +zkCsAdtgZ72fdq7ukmf0RoY9YaRjgIrn3J6MZIrTTyqgHJrj6TEzTaddOR+9MgxQ6g4Wk6yyqI89 +gkdyH/GwoGoEJbmMg/69pPk6AfMQFHQzTPwAYDp4KpFsAjxhajcwsI1aR6WMjPewisRPEpPR+gw8 +z3/Yf6ex4ILpo/WnQfj0UU0Y0tAQLKwpFzGxhrJ26RYqRkvKIA56l/VmuMBa2/E0PGnLlrLlcfe7 ++t9myhCck7Xj3sCEqkGDkkEo1yyanE+AvkEbyOL8TO3Crx6gSGC6Icme2tGHHYhb/oQTzqQhh1rz +O/8ruWjTXd3RM/oBlOOexejmtLpTnCNNMDdvqmDCgbDdRFIHoGY3s4D25JX/Jl2yfqwFKJAlPSo1 +1D9WDk2Zr+m2wzEK41VOnq2izkTtG7EvRZB8qiqvk9upNMe99Am5Ogjzn9cKTZH6HhsGPFfKjVW8 +ZjnVq5UtDG0fALIx/6z7PXzOzxn0OBtb1xyCZ3QCP1DyN9sSvBkfFjKHjxJTzOLGAEqfz1/oNg0l +mwrYAKyYdhhMRyK+T5s/3dkFpver1npbMl9AKyY/ZGzgiWn6JSYPzDbGKGaAoRiL3tcvoYdA6xVC +7AyPD7NiaASfdayLU6X2OMNk4TzSzNzUx4alxwpA2SCPvE5jygV68tVou4m+7dcjM7BIhEEivrZJ +RFDfibbTkoZYTXEB0uvE3mNNa5i3Ygm7psU16WVK00WiroO8fERW9Y0IgVMHkC7+pQtGFRdlDRxv +cNEQk+NxTql+A9sUvZeLgo38e+ssY9wDg+l/RoetIpoiyEF+azpIyxhTD4LBfWpbz+h2sZnqnizm +QVpASFvQHP/AGn6R2oIz3WqJZvELDWiaA3WdGOQb5yuYr7IWuba3dPipvL0sFOVxSKkpkTWfratL +D8P/8ObA6fxvs7w/bKT9FMc2aKyxBO7LAxC2WPMe0F0a55SIoM8wJWiH2sjeA9qYznIRCGgnIoD6 +n6e/ND2dCgExeWqfojZS/3Vz7R3nLpNYnFP/xj6/pK/GJ9ULtaCtmZx+ZBCVJaCbUqSpMNZnnWLU +IoM5ObIi+JkdDkDypna4G2vidnCddOoYvRtR6lPmMSJ4DUETPaURXZSBLSgPk271cE53TKsgwkhk +Mu+TDE6oeCkwefHZOwx6ivhZ76If3hr6aFhbw4OCczzwXEVZAMt1C2AGIf8KIy/lFz/nT6yKbnYa +wKyyc9qgY7xQQ7n6dJcggyneaq737mFQLpvbFfly44Kn2UmPq70Rl5tpux50n2lOPZPASanVg5C6 +JsxaNYP+UVD90DdWQkJALU5JXyOyzD6XhXPpPajhClbu99JV1ho2Rg3AC8JBslbXi7Hp7JpoJR5x +Mdy7atFkXhpRHF3Kj6vgJ53HcYwRYAQJAeL3kbfDDqVHmBbIdZCVOlQ7tZDlOxYEyWFUoXe3hIue +lPYVpfcrO7dKvFNHw6K+4TOrxlRRcrAtIlECwgMgI+EAQ7IFvqYSKdMmZOAhnJV6+LhqFMp2nf3l +hmy9DKhEtO7amDQvsql6Z0oDdYKpiJ3rfCuaJ1yRlW37Qwix6d7+1oXH0N2pWf7XeGY/kGMIWNFL +16z4i23xDqLT+oUu3e3ACPI+8CE0PfaPlUZuiI77H39cY4FwEkGdaAIfACKcWR3SYeGYRDSMQe5z +tm3sUzsdCWI846PFdotUkSIYPtMZCpVsoGTHZHb2+vC+62PcRroGxlInHOSIh+Goi3FXzdn+p0YN +Kdi4YcT2xEZbjoJ4WtQmd37fkeXmEJ9e9O/5VRPBzVgvJZ2LiEIAAG9lAgDe9ahpjVkMPSXjy+jZ +NKKQ6Ei2Mx8s264+DwYVnLHCYjgAepvU7gc9zTazw8IMk0hkT74B6J99puWvsbJ8LBGDwwgPOYq7 +iTW9omQcuKfxe8hKBqbJTeGLZ3fOIRjZnfxcy+RXw/jaNt8h6hJrT/iZmZYoDc5BCM2Tk/R7zK7d +FdUa1thPnELNhXUDCLuo1CaWFg56TBIUbyDZ0LbuJwLs6O7vWYOi+BoZ4tdIZBjK0JZnTCRMxgd5 +f1PsJtH1YP8t8vo2YG0lvS5g+x0HprRxorj6FqjQBKlybTlyu7vhBtH7uantY2iWIbhDLD3FW4m7 +Z7rwz4xOcKbisMxwI2zuYnCugMHnQdhvVkeXc5iz2nbI5VnryGoXZt8dufrBf2eZFxAxh5V+rM8O +Vi0fNc3LnPhU2+b4j/xs4W8NLkYmbCM0JGODEMGXxiPppBIRTaR8HmDl7EvdFnORB844CH80DnCo +v6qRrRouYvBEBRaokoiiOJL90ERT3Pp3cL5d1kjQXRFj4lu2mMOh7R9vMN6l6rkOQrbEp5avmrpZ +y39yP0tsJi6R9XNWBs+Vb3ghTJlzUUznEIAslTedd7CGzkJeWHGC4IDDwMAVK9J1tctHyL5HvHSv +uurQcAnf0urC62q3hdNxNgWgObXiUm9JPoCFWali6c04f5tVLcpa5IJD1j6yCkQenoPw5R9kfae4 +Tcnuxa+qTYff2S2o9spT7mjlqTUcgo5wh88qSb41MOSxWYiLXu2CAB5H5PaOhMrc6TCtxGL0mgN/ +LyI8UvSqY56AC19ziaHMiHJUgajEyJ5NWe6NkWuVvC0KBFCdsekrNO7LuFwEqh8hW+9fEKW5hsWV +chcuFOfq/nMqfrJ8IyZMji84QjIe/jD5kO4X147hK6VNQZVeVdAbzHyI3DkDEUEY0EjWKgtxvLjy +gmPJn9fEjP5xZg10aOuBtIegORWaPckX282gzbK3cRxaAj9l9gupzS5hH0IzbPDXZ1/ptQ6QI+ko +hdRb/5OuZUM5vqeVrVi+VqWZFv2hT3tMOqzJgc9JK8QJ24dzgbTYSJHgFAgc0ucRzG90w5S2U8gy +r+nevH9b1WVjK5V9r6uYYzThMG5+pdoFXR98qC4I7OnhIVnFvU6+1C0s3lseVagXnl6axWE9Iihl +kEdaVtny30Mx7wlc8APKX7/a1Oq6mehGDLX+cwRmPXLpNukobXUUY2tK08d+/lkObT1E8ifdEKBD +JqMLC7NehPJ91TfTGjgcdp3yHQuxJHQZeEF5flT5eqHbrK92NL1D101u1/7/S/SNuWRSLlN1uJ1Z +c3tlgEHfxbqx2yZYmqVo655eYYWS2xe0GqL66+jC+G/lyxQoCaF+A9N8ac1HHPZO/AxhneoLdinj +y01sL4f8vfCb6MJRgYddY90gaYAkaHUoYKh7aQOEK4vGeCdei1gBWlWd5HprVsI6ermJIEIMT2LH +W+C1KPFj6Jlmh2r+KOWgMHlqzMfB+9qDXqgtxQneT6xOa87oTp7rME7t7YVaW7dwvF298/EicDdr +8mZOOSMWKIwh50sWttT/JSTOJXBPvsApL3HQN7vSRi3aE6x36+DXSvza8VRfRJZq38L58P5v0Bct +LvXOP6TflZ0X9GlHkOnqDS3LzBLUTpTKJ7snLe+zjwC1HdnnZ0W23VdzkhNUH+Hzp5D7zeQ8+0gJ +sEQuhCtIr/bGJ+7g9o/6cZ9JEgGB2de6dKSuMHzO0YVY6zdyIS0iyxDXj/aeLB6RTnuhe3unDSzY +G3aLx1/IoZ+HW9ZdxeK6ikujYqvVpaYoQ1NQbvy0/mxoi/lzjkauT2YaSQJl19Z+CLAfJRn0rKHn +vK/eMffy26b1UF0Oeojd0Eoq9DHv75q7ILi3Sy1PzY9OdEDp7i4yY3bKVzHSzNMzMUic1mjCtum4 +R/F51NhBIb32B38ZrkbVo6ptJtxennn0Dzv78aLvohlAISI7QUa8Lhj5aTxp5mDKdB2nPlSw6huH +HAEYVA764LJjXHtXEDeljn3DJHwZgYTLbAhdUatvL1VCkzxg//cquYREl0W+767Je/p7VbKCSL6A +mr89RDTLUAKyBJe4TZRCh7tjKdCiEgiarnzMszQc9PZHO4BNktBzCUt97XOmLbg6TnNWbQRuTyWw +wVsxMgNZ6BjRjUeIQ47qrlumy2Udnc8VigYJhduB0CNRIA78ce8jJODKl4SGxsyA/g9DspT3+1XP +AAxWvJGPzeYdoSUY6mzQK3tU8M9dPyKqdUAtz4PqY4eQagks2BC2yGbF9anjZKzgagf37S9ufAR9 +DeVRhiJNNfWcefS9H/h4evoK5pUMcMflaVJPyccGeDbkuTlZmLgY0gPXJpGm+/cPgoM4ANBCrtND +aLHMV5AiSac3468U+1k2LZ/KW7YrM5aoHEOb/HSpZFfOayf8ZTYYu3anpUoKr1EuYivWRvefBxkP +cKoN7pgiHm9Un8qj/DleaqF2rJA7oDpmOa/qV5aV3ZvrgAaH6Dh6se2Dxq0/giN07TrXL0MjGXsl ++8/TXwteNB4pLhBKRXX3JcE3xnTvCVWqhrhl7rNKKb8aVldwFAoB1KseC6LLJwvA3WTfwhR4dEZ/ +DVrJk+rPh6NR0Ff4t/jQFz5lAhVtMbzxZPo/0Cp+IVTkvHtFyClBOfMqjyY26BSqQyzfHkAGsBPW +7EG5w4p1cVvFcSwjhXZYLffALyeKoNgjHsr7MrPm/g80RBtkfRJ3MO+vx7eMWNA+tv5a1Bj6NMgI +e7nPy6PUbACsgMGZCKxEH92DXB0mu9xDk8q8PMZKs9Af1YjXO0dbfiT1fho0rs2hCY1GR/iisovK +0YD7OtvdyxDxhLssRtrDLGzAP+aZC7iQMpmNqGREe7ZBwe9K8heJlUB/DgAHCy3lcAuGErY1DIsv ++XKWxOYZfV2KNKyqQ/Fi1Un6U/wj2bgcXWpI0xoi8xaymqS0rwXpelRYGQaevx/I3AdYKSpjDYyC +dnJ0II+tPc1N1taWM7Qr56h7RgLtq6GN5nWFeJ3bYV5DU9g4le/CeGlzl4JpcUxPap8FxxxHWHHH +C/Yxc2ZldMFrxGPaWhf/VlcoYQ7DDnnvTJzNRN5cGDmyPxEZq63FhC7H6bIXHEmeLbtMXX1t2iBc +m159CXTOs7fQBDU7/PGDF3k3FhVWTfIN+0ziWXqUMFnjEneqtCUHn6gKqQfEIGLmyOivYkMrzA10 +HqMFbb0Y3ZosXzvXdLk/tQyiR3+Y2hrrXCW8CRBH+Cj5Z4nfYBd64BvOLSC8Pp3kYxpVj7gRsi99 +rPPAjQDaS8DlFYr/fmZyuZWaoyl0hImm+IOG4nUOIiTzDziIvkVI3CRG6Vg5cnuRnISeOkOcOUcV +ACOG1OIwVC6XKcqo0HP0n4CATg6DaM2/Ej0nlXGiiFItJuK8Hf/Jx6UEJsq222rJrIQ4LsYLYnHi +wND963SKmAKCO59PLlLITEX7hw4y48Uh5Mm6doRsIoGeFJqTYHr4rz0RFZMUcbwey1GUctGKouDC +nFutln6bO3oz+IdFs1Zx6MQOk4ckJLTD5P64iwLlpyuw1KVN2tj5be7nFzDd9Skav1CHNQ9Ceggh +RDjp0du+LwJJAgq8ApQhFs0F6FOLKkyUNn3HNPBXKLyJP9xKlAGc73D5dWuUXLKJ9bDA5ey3932F ++kXRSX2T018ATFoxi14Ds8HyV+j3yGhs3yHJKjy7g0g4Vm0XEZAQBtYxSb5/JvEpqsxdDOeQXJAX +3aWCF0/chg36iIM0PHA4s2+V/vp80EGHZxyYkkGgO0+3QpRu2lw3CXzu9A69lsWQg7hvyB+BQCn3 +Mcv8FXSHMVMPqb6j2C6PZWRJcZ+Vz0zDezUsA0llHPyFAgBoi0idlMq3g8CRQEmKqMcUACGwxVrg +4ycngTicVIRecjyHvg/+NeJTirRrEwf6sEDwW5j7U508EP5mSg8po2bBHRn1JPc0Hu6zc016m0Yh +TJY3Zd9dwc53re8EEXZ8/jHVBjfGnUFt+vhaLfRUxL9I4J0emGH3TkPuIw8SWAZqL2vSfw6KwB1w +NQW8szr0sGWSLwS8J9rG+XTbtTn72WCF87nkQ2YlrTDX3BxtQuegiB7gC0RYPoueuZGPre0TxOlz +5TG/WZc0Gx5MWHD1K1yB8+7qz7OhUBqkWMJj8eKe7X9mNK2ixpHmhi3XFyjizId41LKFwPLIymcl +HbC4Z8T7Pkx2dblONDpzCSzeoE5gp4i55pKSXh/sNtMDHARuyHUyhrYYpAmG3+FWtZhR1IWxpoQE +RfP1XmlgpaKvn/N+BA2Xcxvfp264WT6/Rv0P/CmdyCGYFcqgx6bRd2M3CWlTltz/yXLgLPZgvbZ1 +oGoOr4ilSw1HTXqU2HnAPIy779fW/jOf4p8mfL6S8p3Sz3XtxUec+HAZLDl7Q6WHfIzCISNbp5ui +GCuxIPDeaSuI4wyvkZvxVM+hjXL2RBNqYu99L0qRJfp2YucO1HriUf8Rq+8PEF++GJ84F296s0W3 +qufuK0wg2gB2ayIKIgpz/wk3UfTGRwjEHqfbNlJ2+fkxHtDxNU2cMkMov7sxVvNRlNaHjKp+lFPX +lTJysNet4bW7/FTkY2kYWB25hCz1lRdddaDA8anwpRWwTdv8dPTJX413sWoBwyb0KlEb8bvId9UJ +6fy7i8pCFTGGTAnD4VqAfZMeQQ0FngslskWEWr2d6zmfyZXhWZLkT2TeOhp7GLlhjE8E46p/8frc +yGpvh5awrUbLlSiwj+TapL0Uts4ECwR9OJP2om+rR6vpkyRwq7Kwy+HNoldGeQV+Zr9hva8Yc+HC +Vd5Y/QqFUVRMtUpVKC3XsiIbRZCvPs+6dt2NkNs23xKXlKS9gWszTQxDoKVaON7E/iHze4RcgHUI +mwbGhBzhvKDctE/QCaMv/uJN0/5w3Wm0FT4SeKJIOJN57WTm2gfRUl0YnpRSSDtS5wcdfRMu9sK2 +lT7zK10bjV0D4X8i/QdAx1nii+FBVGIYOMa2dAtN38Bo6pWXirxIoeZuhMFp7S/S5rd7qUPBz0YA +vM7ddJsKqsBMeNRba36ydv5LmxDaxf8Ba+TsxhvARGy/uc0ziJQc7qEKuowc9DSL757/xYX0vhw/ +9CvnOt+5KQIS4pGb7l0GzeWfB60NJZVnzlmQo3H0kGBPY5OoAJA5viQcDxHF9strXIRwnZOU3CWs +c8iyHztD1qRKsk/0rlNC0LhAWyQx713nHSK5v9Hn7aYJJ+of25LyhsjfyNjwXqtti/ePqRE0Ssoz +xLWzPaHDqLnmSFUPztjvK3BVtXwPAif5Vttf2JcysUwyfv6nzrXehJLhZZAHMG8IDZB7xCXp8fQD +CN7c/B7AfPdVQ/BY4mG0To5HlIGDcgJjl1JbAdQk83X9q4A1DLStfCmjHsuU6FPGiuaJoR/KEFNA +N5nL4B6W+QH7AHta53xA+lqDfWcoC60PmwEn/3bSnTjoccvqe/aEM9QncddKmiKjzdYtgIK5Nobx +nV0jkrK1EEk61CDv2IkkT0i6nj7Gjxg+6SCJbWPZVRK5Gb6Eo4B1MV1yt4wE18juTDmTAh6FY20j +jWOtBrqUL5BfCBnJOBzEpVW6Oy+IFxDB5PyZ+M0C2GLnc4sc/4hfrNSlC9UEt0qD0dBWK7CpJa2y +b4acveiHFbw0/ZbMhit8T5k2dlmbVvAVfJQRHsI4V+uUneSrdJgdC4DUEYCua+ejJhoX7wuqrEqg +XPp9ITCRP1jBaUCKTlj9gV9dDeIHD3ayamKB5VNYM9CURgfscVGZ1Ai4B9EtrIUt43kAviJ4//TC +BYD031f2gp1CxrjSv3icRRvPXpuXXZ6R9Jjr3KfudM3H5cJuRRFyk1M7xQUI89zZOCDv/Km/63JU +2bi966OKJwohKYW5e4eGFCrFP7A1YQW85xnrQvhkt2/8phf9xXVepKHIE/v+OEAWKAhbf62aoO77 +14JWVz4POUm4uo4f1kn+4XHMhiOEXRZ5iveV1z5/axkZKaF0N35t6E5TSEDM4vvI9W2cuPgPSJSV +WARSQ0qEfEdFurVz4ybhxtHT9alCGIvYf+mNLa+1kXnqKum/E7hh1Y2gCK0rF4wEgodTwvqDjIf1 +Of5VnzTzflg3BO58h+8JW6xoMTAHzC2B8I5qYVnJ78zRuUoKlaVAWmxBgV5qoYrkvJ/ETAx9a0So +fDn1NOcHgrT6dMUPOOFLXjLsvs1YiCQjhEpY21O0qppPTblDJSWET9O0RdxrzycRggzgcoC7fjMa +qsdYO5SqgpH5VZne6rswzd1YPpbLYxBgfqcw0RLLqX9aqum6/2TTbcAuzRK3XI/0R5K0wP0BFTQe +JHc3v/BEx96M0NGzNyBoNQdOUuoPZwUbo0Rzjp+KVRqdje8LvKDXiFXXMPIsWH2bQITFceYOHQKx +xeVbrgz0CYlU7nBzhHL5Vu2RgHubfKjrpj+3zwMAOQwtJne7WjSa8ig7wylDvBWA97alF/KhuEUO +NidtFSLGetW7YQLGcHRtJTzFIidz5rzAd1Tn4GiIhBM9KY793VvQairGVlSmVnLG+smc6DjktpCh +fN61Tzm0QwXuGttzfFffEubw/3Td6T5Kuex4pAlZk2HXvjLXSOCzn/qcaz8YYfMy+rs21dCrIDl5 +U6hjMXRGTuvOVkEGN1f7Fk9E3K4vIyebnNQA7cOnkKflNCxJOJQuGVOkS6ZLch9m/JNGfObBYDzN +U6ECGBZgMdG0+Za2KrkZgC6pVk3H4K/3lzFITlDhp86wAd5vb56Uw75yHgWnFHkqqOiPFbzY4q/o +sGqXWW8f8NJ3UzJ4ge7/P71RqLBWHVtfGsmwGXZy8/82opptjteaP01e6ecw+VemkqddgRnF6IJH +jkUzn3I4/YWXaHJ07Lg1mafhLgHrTLPKHMYTPx1EPfyGUsmlZSyzFqgQcpZRy6o2W0o7Fo/Bh9Vm +YAfnriyrziKReTqoztVS60Y8abgqt0QeT2m0rb8kYDe1YGJazafTLQKI8C1XVyiQi6CG5DSw6ulE +wIQbimHq1sA+rEndfKW2eFGBlOO11XaaFcjcOhkj3lDj3Clmnno8p03b8pOl23erGDbqZaK3tVlp +uANUcPfTJ+GOZ9RXU1KaGdIaXeXxbu9/3fwGCWLASu4FOWk6UlNvKI2xWWS2c130Pin2vcfLM+7l +oReNff/eJrDUIRrO65aOa2QwQ6wOblCfiTo5j59ibfD9VmhepmtFr6V4mubV/MP52NA7KJXDN4TC +n117wWnLzvv6cbHSLt+M7ihUDey6bdjZD2bTW+XNligsxfxrt/9hOOLPesiaMyxQdsE64JrkbbMA +FLRVh0ekkIe0qkTxsOuOmPiT2FZMlvCiOdN7cFCzm2NKB/Zgxv3cfePWhKyha6AU2CNueLjCdv4C +ZA+e/+GfzpXdboddaJkwCoTSCgniC1aALeddYIAgBhTHj/IYANEZVVSpxCb/wy3y2m9QyNsQwZCm +d+h6vv+PAASPhfiCqCdqc3YiGdNd9jbgXke+jLrAo+DVGUad4D60hKMLUwmu+0shGZHSnB+hcLnW +pCJk23pG2uKFmb6U3l6dQVFPQvJbHymSd4VZu+6kzo3dNIDf1mizLiLzvPH2T4Bhz4cCndtOwQ1S +o/SlohnN7rb4cgIc9IRRiFmNvfbXE8H7hn23wgqraD58pnZujMBanouL8DEzgnx0vAXdz1ppolTq +r47nafw1Nb7+w3ElWFcLvd9Y5NNNEEG0S4S4yzpuntXIjETymD5SBBwMlhGZQPPPs+JYVxbflUDJ +8w+FyemBpRldLrfJIgk61l3aWNa89t6+WSCpofSO5cHwtd45XBxTS9BQrHdsSxl1Bh+xcCex1uv7 +AvM4wl/2sT2OSbGMJMp8uWVS/5K9g8HR0T9uVukwavuaHtpauvYK5C1VXEp77orRESklKc8toN0A +5JzQpEy7g+tqYyFG9rF98fDKbYGzgaM7D133jJm/b4hx+9fZ9WQ3hLn8in9wb/HwE6Xx56kSIklL +FQrY3CP9+MuZgz6iIsVbadSWoVzBlespQyrQ8gn3+7glvMYqtSAZLRNpDTvPeGTwkEKSOWCxzf/3 +eAmUtsdPIXdkfrb+u2sE8bXXOywD9EpmwOSTxNAHG+6He+ukw6PxVLOB0GSACNnXDt2kc+zko9HC +i+eVPO/Qx5jktuTmUom6u70WEsEoTXUdtLw4eeEa5miILYaC9vXWIl/tHWztYQkaVRkIyKaUuiID +9SH57Mp729PQ7vodQWALzHAyqVr26Fuxm9XUmtmbq4HCLrAN/Ywb69Mwza/Qr0mz551FDJTBagEQ +S1Wqa6u5DkaBaEMm/5ogrE5HbY/Cvtzj90Y0CZ0jqWlc3SPJ+oznhmSXu5yP16YNS3Fmupqm9VLf +DnaslJPqJPDBx5qWtsn2EFQMgGqudDoedvtlfOH9gu2qbWR9d43ZJTuxuUcXwjCe0y6smPTf2jQr +PFAGHKRc1qwSipfNvm3/Io5TcIeO/nQcDoOMoFPDvgy8RhoayQmqlbTIAYwFMbL2o03SB8vYAqDS +yPU523cpO53GHRMylAyzHqou7YepnqP8BdTMxvXl1cxTEoY8OLWaSkeB8LOcxAv9qLt2QkbawWFK +vIYx2kBQavEDgXn/5J6lh63jjXanS/g1VpU85X6MD/kDVR+84kYj3UtglgOQCAX1XpZLmFqWYheu +nPPYqeBgrJCYX38tRIS85CTBq0EtmTnnh5HntOpARShONqKIPWgprquOb8Gd8ZxU1pGYvsCrFQIG +3Sw0+eUc8O+3Eqd536qvDsaYmr8NOaBsFZXXhfgLGX0zWuY+xX9hMCc6/klOXOHaw98cRzS4Con0 +s2MVuG2RweJes4O77751vZbPn2xrBo8QLtZyvt8I4jk0mJdj3YPqx0vE0s/Xy1w6DrYHEVbf4y65 +RL2C6hGztf2AWGsWjhhYaKFdBv/O62K3CwlR1rzK04QJ3POPFEP1v/Uyvgf/gE7YuFFq0T0vuVT5 +JVg5tvg6du0aUI9K33bfPJ4ptX6gfRVGUQAhqjszCmXIxGEvLfLx6a79+T6eXIBJxdBqqM5RkPzR +zS+ZTipGz4TXJEezWp3cU54bE7xBJkTKoHFLdapRL+XvUgYQUOkR6pqCEDaJPMW5dUI1CiVHPeIF +H2diC3ogmTiPCmnRmQ5bOmzL6f2ntYHKDs7Dmsr5QKF41b2N8FkHgd4C3g/03KvYoz7GBeHI9vdr +E5tLQ8eLOJpjKu+eYur9W8o94NHvqA7uRKbvhVzSh+kLGeDaARDEtVfXtewh1D/NcG/852EmvCVW +7tzjLCx4Hfel71T16rRAUlvvpQBw/4V4sxTvOH6GO7DPxi4oOLUEv9bwoIp40SKMynAXqKwXDtCM +6bHSQ4h46/9iDzzFNQkCuGNIVkqDYOuU5yU724zrhT+didLTmeOYI7nyqCzhSuHmmXbnsAzoAmcn +95ryEcFyWaWueGR6fexLlf/Mw4qzwgATE+AIL17ixvqcWaxajchTkjI+ZPyD8TnKHaeLZNVxy2sr +hsZyLH1JkKtKu2q4G2hERgPf7+kxZp7kDb6T1jRr+R/nAkD8fM6JywYXYVtkdsYJMZd/rXm19OQP +m7rcrxTPDZVOJ/M/knOfDEcINuYifmgAW4JZ/n6WSNcUcULw3rj4vI5rdHtsFcpqIr+2nkttQFVE +7A6i1zfWrPr+1p0Lt7vbx+ynoY0b8kFsUub6bI/3VSoRJ/3tjKTjjCI4U8NLHJmdXFwCXdRapGXX +arRW9q1TSd+zKqS29+TIlYmMoa0BJiocv8WL7iCqmfwztNMIU58t6811kbC7ecPd8f0TQwiBsvWr +Qr6480sP2UIsAIKPf+f9H4Ap5NO2W7++0qpvVIIj+K/Sm7r1iJY8USVt/osnHY0lu7gUnlK55s83 +eg8ZwqKLmWftFQsyJKy5ua/KiQZZQq5RPKPA/CW52FKRGHGl/O2YYbTp55fJQjxKUn+/yjII2WRo +5wekRJZn4bBIo7N2qvdulkiBWINuf1XdzWETjlwwPDy1EeN5byjEwBHokc/1+U9SXI6bGsE7riyh +W/qYWKSvZFjdgta+EsRzc2o1pyETtB2r8ZaDbxMYq+XVcaRNJQ0/VBPYUQird/COk/f3fJ43LcOf +zfsXl9wneup9Uk4e0omIAzViUFZweAB9e8ond3q9S3XZKkufxpPbbkJz5w0glOrH3SQcvY3p8ma0 +U0q6KbGD+Q5kzsw0eKjekhAH5ChgHRz47HELt+LeynJwvkDxt7gkX0wPUIN/ymGINgwWdZ7uANse +y5kYzR2mFF5P26ibWKCloUWFUk76YtDWUotBME/GTuJSxC7MUhN0utuiAE+r6x6Cd4EbgoPi3otm +pKSQiNx2Y2x+Vg1qnZuAgFnE5v7GdCblsc2+cfhzeyugsPSgICka2k3iuePGE8hRFZMS+boq1WMV +bh3WE1ir24AeJV6o64xI5/P7aglBxZxwBuz5pRfjMuVF9mBEa4aafW3iPdmy/BmrmeXEfkQ+SrDM +xsA6IYJZcV7+d0pq5Fg/R26P+TwkGlTp41FpBgFiHyX1xUiuNQf9IiZbn0NPUqFdcKALVT+xt3Xl +z1son5wX2WDNkiJ46kaVgoBjBa0ZnbuY/R2NeMiMF3O4c/Ro4OKli6r8SvrfwHII+fU0IFucgbOT +BocZ0s02D6EQCQ26TwiiIuTbWa83HknFZcpgpzxozEUX8vRI5EHHdllv+49h19M7Vcdw1xvVjgLH +y64D3F9ndmxbUoTp33ISfP+fjvZIWrgij/UolxTu1A+FCE8KJU1Qrqo18P0Iq26wowR2SNOSq84t +IbeedhtQ+hRMRWvptQ3IJaS52x9u2+62EB6KCoSCwMgRTQlCkQum8pxLCFDo9wxdTYTcksCeT6lN +DUT30KgevSrOerp0sgfxdcTGooVX54fcvMgCN28f7rJ6gbpTRu/9/sKrINi4uBz0tjTZ45o53zHu +um8T/IkB41KohYCVhR/h7I17/NtNVObC/FFjRAQ6k2eEPZmjlF6NWkS0L1kXfLvHjSTdp8TceU3E +PSrcCuCm/kUkNUuI1tq1wr9xwOtPlbdav7dVFAuE6jP6J4BoebKxVwUQdANl9taXiSVr1FivYiu5 +YcwGk8gGv/wYz6M6nOIGb9zzL6/9uqDolbeK1tu3zdBLghjpJXUf8/fnneKfyRbktUDE3K6g2crt +uh0y7774hTr5WQ7NAo88CIRt4UMEBa482VnNlbet1EgEvqsFjMw8KYXWeL3RpAGrXQ/qywZc7KVv +NP6kQdliriCVVCbQ2aZ08G57RlaZc/hobHeBAJl3R/J3e11OcezKw+39EzBkq8YeaVLXXclffKWX +VAG+u9O+3k8XmjZLWoMcYAy4GG/zpCGaDAbzL6AdEUSw8ksjpXGy+cBWV0FOXjgLtWKJOgxsI3Rf +CE8jjzsyAfQTh9YKJ2x7dy2z0YELs78e7o+1r22oivKHHrioDfCujgcjvvG/Cgu4jvcO0bo7cJ/q +Q9n2kG4FFg7HgvkgX2m3bkuTnE3dK7SZzpuJ07VYHZ1rQL9W9kw7MvL6fGmAl0FulNcirMucY8hD +WC2CzSnOzZlCqHEDA9mxifiWicZ+jQlPaFmJPmWrhIgUjAPcdCkYGA7xwLJVxEYBdMgtqtba++2m +GHqpWjYAkXSZozBrSXV8Jr/2Uu+JXvPbZ3IbZM64n92m98l2G69Zq7ejfa0LHPU0+zqi8CWJzMBL +yMa1FZZQ0XpZ2/NZh06gDKO2a9w/w9eum3HDfWlJeNGDvAn7TapaNd/wiInAly0iZPEQ4+lh+s34 +29LpPpArC9+mNbo8DbAEy9RFf5/gid319u9wzzIYK7yXh/pg8/RGOfeXp+MM+D8PZ5HLxbUK00IV +dLWXIywWHaw71jKHHgKpHD/r1I4HAAfGed1VTNwRDXoyDCghKExsRDg7hwTwK4TwAkM0UnT2Z6XP +NKWqaCgPd2gEPS2WbxeLBvP6akyAvIc3aUqnHUdiTK9y850hFUi0bcY14CV+rPjj8tLdR/bmZfX2 +gzvR3Xj0otPHFR6KM9kY/ZztbWOotHh3TUMCwKexKAZPwDPCD0XlXn6ieXMXTCgDj4CzUuaLzX4Q +Az/ktpZ+8oEo3ZFoKIclB1JjXuPJy1jAazeMywdPIGPG1QBwdUQ6qeNZyzsHc57w4R4wpXsBqitS +t+KiI6gp8r5IuBmFL1t7SQcVEYtcLcLleOVxM/ymFHv8CTychmrEbFSYjz2i4ukimDQMTWx/bJlI +ola6d+3fXY8w94qaHs3KEMoFfjcsMDisvwSLxcJ8mU+qjrRpFPSyhCJ5vaaR8w+MGOQloRLluwxQ +DGz0X4BpNo0njkgRFcleUKs1Peoqs5daqK+xs68EvPgrlNxkkzEQ+B8pUrKHE5bqMPxKmqKz0PaQ +e/DwopOL07Ur20ulCxhvPci5LmdAYSDWsWMQYolkR39OYc0GskBvaCVoR/gM+ZzXAGJIB8bIAI+R +15Br7+zoABPwK83SGtQUGE4NXd1o+KS414JyZQiJwOtVXOqs9imgaaDz0ASiOZz+RJ5tYbiNNDg0 +NVeidIUk47qIkwfY4g4r5q6xCzojfUdY4vZtFTJkuKBgt7pcjJSKyE4FdJNbD/qr+DMe1JdEev9X +7fB6WfEYGLwLxvLtp69jfJUhnPrTtMcIV/fQNy+wGVskSOQKg8yMq/m6Di5+TumtDB4mRds7pQzq +yoExXvDJuLogSCkjP/MPpNVVS//5JvPTbsuXay4RRQzeoWIMUTt3ma2noblhuHkUuj5L/a72id7g +EIZNxsVAI0UHmWUcchapI5NOc3EPTW7lXan3XDrS4YdFxEAhPIlKp2E0uuu/l5Utkm/xt4AdrxB2 +RXFBoMG1h/lY55q/mL3xMRU6tw+ILBbGC4LBCkim76/PvT5Zfsvrs0DogQQeVK0tAPgQNgDPG6u0 +1gRyR0teCREahmacWL7hWAKNP65mrwU4nvXD2DEXGG6UOor1/D5jSGrpWW2wLnmGsFeZTBQ2UfuF +iWPmJUxgmAg0CPt2hPb1J0GNVW5zQZT08ke7br6ujykivkn1pDkuZYWlNK06eVoF9Mi9vM0GiFvW +8GE+MRta6r2VdWsG0Wswj+36hJ0vw4x87uxVIBGtmyIw/Ir6fX7yW2xdz0Nxt+xECUTexGEgvoSF +558EGfUFhCDRrtxzaW8JLu72SosLK/Gn6FUa/eHZ3Fq+bt5cXA1Og3YT8u/eW3xVkRWh52kHXFP7 +/30qO2Ek3OSugJFQEQXUVJrl/xgpJTN4L29ZsxN6Jau/j4PNiRua5sza2Kr5gUADUUItIQGkA7HK +WoDiy9xMXXzJ16ZpKhC12X4ZYfiWRYu16RsMMUht7THYslWkEdS03l/wP8dkGRSDIOQF5BJ1+Atf +3B5PgiFqEMMeOaLBm3G8T8R1UCTXkC+cWTWOL7GONpMsCAufiFpo6sb3r12wXlfTCIJNgmuezjKT +jIGI7fUJN8ondqkp6Lv5ZvuypTcovU9luK8SG+mgjmf6FVq/pn0NAhV8R1QFAI9NFKq9FLMLx4Ml +Q3TkDuxlZZC10u7LfSoOHmXP1IeoMUNmosOeQw/5H3yYdBuM3EduTO1CcrINDTo+0BwO0U3HkYqU +i/3XMjVKhubrYeF+LeK2PQpVPztrKZ4IbSQ0NRp3Q/7CDPUhp2Nmtfi2xmeKYyPfBYKKYk/4w4I/ +a9vhfQeE6gAuqW5zwcaLbYvw5TeQFUTTKyNC+gDD6WuOHf87sN+AqQCdxV97aoetnC6uWscue6vx +/V1X8DZWizuAhY530ttkWCVpBvX17QM/1Cbb4cBv+tpaK+VoZ1IEjE+zuZLOnldUBTB6nx/DaqKo +66FEi8Kdct+WS7/Kud5MsEGVxNse7fb471enzM18PAsSJLIvLf0dRhiGle/civIn82im7gpZeMUB +PLvDOyPmVoylrEgRw+8qY6uIMw206lu4lm0KwMuECt8O6ayxPpKVZDl9Fc+yXTyUxO96/bf5Q/PE +nAdHDSuTALOHJ7v8K+qrsdILCm66/pX2Vl51XcWKxgXnoucFpY+v88n/n8Lcvd37mqfkBI4hqaSM +YVI386lqUkH1GOYIRpXGehMtxMwRBQLhjDAV/k9vk+iwS9SyPrDMmkmQ4lmzSmhmkSK+ONcZPGX+ +/bqfKE9bvCsuKACgsOkr4BBjd3dvjAtrsbUK3SaAY/Mj0QrhN0AWTt0K/8zxUrIDRo2PqrHAhLMc +hipEIk1riPiWRtgKhZJgcGI+pXEBai7dT8CBKgMV7DZONtJzE8Jn175ZMBH8Pa9cyPcPk77myiJi +McitQ1PoHaebRELI/Laf2b/wr5ROCRVimTLjHVl+C1Nloyrsp8KpZgcE8nGBPOUOTh5V+Psod+od +fB+zYRbf9cIkpcN7xiNofM/6CudIPW4VsL7sRQbNHwYX1pcq6yahRTk0E4LiIZnPDgu0iC17+oaJ +PbpRsei34kC4W7gYpsCRXZ6cvwZ/vauHqPjbVZRiM+J50j9YQDWc+vTjwB43l3TzC83ABX/4LAdL +nDLBIPnPLKVPuEMiUPE207QD0CuGyymk+SjZvasgrmuklSFgbxwl0vfDw4ponLdnXGUOO7NLD5f4 +F9i31ccKW8CSwM/ps9ar/iH8blm47IHDIAh516bCtYj9Vca/qbYDQfdrikQ5uHESf41tj4iphC6G +rO15rj1Xg76iJ7ljrIns7ZBNoWn3F2UjDCC8LvO5W3wHg1yImjC6LpXedo2gxg0SLKPzLETLSrHJ +/LZ6N5Sy4NlhArjn+C1ZF9WT5P+WAj5c0dSa5A4CliK/Fq31AG60OLmpLrz+FRpfccLPa9ImtMve +HXtB7b3rA8LTsR5u0QXY4kb1+W6qSJaF+y2e8fKawBr1A1eHfRdinaQOorEqzl3V+8JhlgUoUS2z +HE1otROGIYpNHsZmGt+hPpjmqXamtqp7xJ9xeWmFZDbHsN+XEeL6UD9neKelZ1qj57b8FgXkxfIL +Zshgp8ICskdDXpXXkwnN0wuruppUOoa8thKRCbf/aQnGHPftTapl3fIoOuFKEny690LwPtzEWYXZ +Rvvob89CXDlbRgZ+Si+tC4914dVB0jPqnXKI1AhrbNVMLJzAQHqioeKhboQCtcXKNLXLkZZgHyBt +enFESRQbVVV4XRgyjX7PhljCrnrE4/Rsuj4VIX+Z55Y+tzrtHLQ341NmUglo8LVlB38fJ4UYURxC +3TjmoDdr6GS8uGNgW5cEiX/72rUao/jXvxux6Re2pGbFOPY027IIXFE4pg5xueWjB9KonT7Qh2jN +QXomFuvmdbrOUFGdJ28sDZf/8cca5U3H5F6zrlLLPDpxgUKwdisttcGcJsZiSIkmHaQ5tCjLOmCO +F42GmEXrWMbG8C4FR75UfqCfYQoLmln4LtslY6nqR2hPZ5cFlz4E3r/DvkLqeVQrlqMuMQZDCpoR +zQ3Aih/XAAyU2fBv0Azix/NQfT5dG65VxDkNLvSYGiJTtVxMFrlsAeBnjqsh8LfBD0Cq324ENgwv +JGrPsYWT4VGaTrbAvRt/qEibmAjBSmCgrSNOnKnvIaz/zcebGaT6hP45WdnrFwynuLUFaCRN7/i8 +zFosoN7J32P0cutPDQ4e088v4PwRdSyx4JgQjI/2xlpYfvtp9sgKaOP99ys8TvDeVBtNiBTiJWms +6Mtem+1Uyo1dsLsm0CUqOTKcrxTheK9GTWSO9Zemv5hP4TlWh9gEByu0D0Qit5yE+Ck7fTH3Y8OV +FD33imGZmqcrlmlB451kxT2IjpeZ1X3pdQoOyoBRuhnn48jOh67tzd8968Hjr16zm61ONwlDupRS +7VB+Z4w0BZsCVHLCeaLyjS/c5xQxc7g14UqreoJ+2GsgZh/kgF2Sj/AxaYf4a/fQ/8erbnuQ8JAS +4JlOE59GCe6xsiCvTkmXO/0isih2DLHm7+ArFW+PcF5u3IfyTKuNF4ADjk5oFvwLx/6GxTO3m8lY +Yz7prraw4uqT8CSvYjA4ETNA8P4IzGDYmzimagaNSUzA4QsyQaVVo1bsCN9G2jdP7wSmR19mnUjH +9ND9hV9sYV8oCIEURXV3khbhcYIOdOXSijoSQJz9RSznAKDGnOlZVPi7iv4eS+Obc9S6Whtf2Rp5 +Rr0/3f3IwZs67wobgJl1NN3HdQsI2xSphRnTFWICGGsYiqdhMIDDrgJ8hYq5HqOXjBrPoZbr4D3I +fiZpYY55CiZvdSfSbhE6yPAkL291zZGLxAIySYqmTpkIL2+EOZ6Url8ZMCgxVBN9/mNgSiUYj2oZ +yMr1gACqDH4Tl87cA+0kwzqj35O5Zladpva0c+YXc0FKYYLVnBKL1p963MUf+4bHryImE0Qzd+2I +o6hlWgzuZiWsXtUdQe4gcK74fdtgLLEGQdUzTYEgzkllFHG65HJm/RhYMvGvaSpCqJsWr7h2RJab +l6A/WvP5ixuS1DE4mq5yi5keBU0XTKUJ4TiQ3itEVoS8E1Y9jVQc1oQ7Gwt9+Q17t1hKduEdM5Ev +bFjNyhrhe53KwxR3pEVVyb9cV8frhWTszdfosVJqtg+l2n24eDF9KCN42oAgWTLzmw11mhT7wo1e +3NdRlXfJul/VrjynEfqaApw1/ghqzw+mM3XC6pbXUD+vqdCIyvfztE+qFO5PQNg/vpJy+8WNy86g +FVT48fWATTsdxkU86CAZVKDPHQf0lyr2YjXrKTrpBs6QYxiNVZ6Wfi0rVRbuXsRnUbsdsAUwt5Th ++By3i33ecisbRjnpdeGkKtuMbPFvb2dJ+r2xWh5MCUPfEm/ZpDcm9FychcITD8IJHSqYSbo2kV3X +fevxKUbCj6sn8qnve2e6Dz30mUYnwGdlQi6LNVVefMtK3ZNh2d0L0bSkqrC3rzGNEwekrRWUEjtq +cWyr2Y5yfXhYdk0R69Ka/mi3AGCfmvgkFlGycjgr/SG5PAflU0cOwdfk3KVmdEYtlUxsZq7FqU15 +y564VZlfwBL92LzP0z8Y5p9N9bRYqXks0ERP7lkllnwFp8Y/j5wpoMo4gkVxqVNLDOCM8Z0R/v9z +O3Aj27qUAD1NzV3jUIlokIBOx5/Lnm4Wx6S7jWO0VO0ScQaesUPD8w1eK9AzkT0R+fVgWUsEy02d +XjZuJgEkxqnHK+1dNw3PpfqsjSeJiXxRmNB8FK5+JL/LLRtvX/QLPO1fMLFoN+w8q/rUjotniML6 +YY91Wgz/3yYDH5OWyQdmyG2JVRICYhiwqcGW1samQcwd2LkwyNjbNZ5BnB1ebeNPGPBo/q+uPEjp +3UV/FRTVSPrZJykPPmGOQTFPbE3z4lM4cY1jaVFgM8f+5PIYwsSwjYs2T2ByR3x6tpUjZYKpWD4X +EWHgwYykgpd7Fn0itwGzND4fh71rO+eyEyvYsDaHl3+bfSfhpM+KDi+oB+tF7aiLK9tQVNiM8Pg4 +1kNON1TLccdt4wx9NChcpcwr3sKo32yyffv2zq/9+w8FDOQiZ2FYU1bu/5BcUNijxxO6VO6DcLIr +LphtWujAU4+bVJtvotAV6wLwacwOyAKPZrValNcVbiaFzhEor+u+exqcMJ++/80DK3VX+iKwdQTR +pBw1x/zTqE3vy4+GIbMISb4sDQ63N9b4zEx/UKoO7xHEj34Y/i0CP2fq3mdDuzqxYxLcpoce/1cH +i/tYwBv60kzYivXz2uTsjIN/qLHs2GCbpILQjY9PdONGIe5z5wEtVtMfDblu8th0J4tUoZlP2mvD +3l1Cfw6Ul09gZnruP0tEmKxdYO3GqUWbM5SleAMhLjaWfY4buW+hpjnAw68sLcy5LQNT+0Og1Vkk +VW6MwBR1PbfQEY0P357VZLnvKCFXKBAOxPbl575cKbqWEGRGKq1DVtgp6sreqyaR5D4dz8Ez04O7 +JVdu1BnBXzauco2HUo3o/nKEIAqfARUmzvYBdb0XZTrsJmXwnt3/nP4gagYNpiFOQdDfA71yZC+Q +C2FN8KVVuzq0/Oq+RUKxT6yZ2DpKNHYJ52s+yFbtjNGtAPURBnxQ1EOzEhOFjHO0HIB7Vn4dJbbb +mGp31ubdx0tKV/DoFdUvRj7z+O2fSwN+NnG4Y71XmjSJtc77ZcSz/FcFY6FMJyfZRarNkbpJgBAb +lY+dYIKZjQDjFKj6z7t0whCf+W+Yn+H6jsjRkzEHbxqyVXYoqx3GM7Z0LxGriXRP9645NPofwD2f +W47h+TuqPYrSbgA1x9OMEAQBdbjSuerZt7ZswJgnzOtusi/me1HVnsEgXgdlSszHGNQRzEoAgp5x +YpDnjxXyv7QU1TPYzwXLsfiL+nso21keB8W/34IEjsjm3uT5U6QnzHdOFIjcbkVsOyK53M8vNRzE +C62sTck8XyTsmgXEUvd56fkb2ZNmMzBVFiriRjvMvdU5Z/EOHBmybVxsU3pZAVCxWsThmTqhTJMO +WkYzkenOF6swIdDSVviPkD3awcAkY+UovX1cpUaF0vyCy+A22PNfbPCc9mmUfFTl34gAPPGBwZ69 +Y++gd8/OqdIw4+uKwYvaYajtxqdN4mJGpaC7pEHl7SvSmvrz2AkV3UNV1+xhd9wBNbWz5T77xEJO +706wKsx7TJUJvpr8u743taD9MBtFV30unBCOk0X3PXIDuu7qaduJPvpDC8uPyGv4NQpRA76Fq+pR +5XM5VB4gLlqCwxBxymGXCxOg5Q+vbTxO7a5ztS/q+5ehpGyqnztkJT7lYeFFvAO/L56tNwnXGGS0 +nz1K7UCqudCHz3lhTQZnvouhW5wFtBEXpRxAMEB18m+HvpxW4jWgF79g6FfKsFEUITKG/NeelXTh +VVZmaPdPW33UKRrg/lrEXr22SqLVWWcMFI6+AbQzLc2dwOQ2yI/b6X/6zAz7Bps19OqSm4iPIivQ +mzWA8rsjNvD9PNql3eldM8SwhpSsIp3aShFF05insRpXJj/VLhCaL3DCXQjvd3810qS5F/f4exRc +Im6IAyC3vwbVZJ8g9i8b5lyLcgvBoi3cRqbB9jwj1txeYb8niwdWEaDLSDTgqwiNz6QOFQqfAmSA +XbNnibUZ5L0XotT1Q8CSBxoJVkuY9lcGAHvZfd/FP6mUjZ+A70SKQHmdVXJIj1LZka40UIBsGr9p +HSuv/QudJVdGTX/Vjip3c5ZEtmeIcBP5tT4eHW3hyvcykLfpRSrjck+MhrQlHV6KKhcY7hmoiqUw +tKEGfwRQ2sdONZN+4Uui0dgR+pwZEWKwqPpjjJ24mZ0QfOE1ga+ZL8oDNhuUi/feQwAjjm8Xbv3H +49H4oIG9U8FKCg2sbs7lFD70gYnXzV+OSkqKwRq0Py2lVSgCE40s21p5fdcokKtJAKsG4DSErzba +SrELp3H+Nm4KMebrnRfqlh2nnftWKRRYtCbKlHEoZJMs/oNiPTb0+JFBoJ3yVDuFHox13smwPs1c +juJZirqJsJG+9zBqDDPGOvou4IIbaxOAFxk9Vp2WbL61stwtY3STNFyOfWCvPJWUxw7xIMQ2bpo6 +/4I40siDu0EARSaLrWnscUFwiFO80nEJ2D3f2CJuD83LgODSntr56DGAX9s95h9pmzj99JtmFq9I +IcgC2bjjvxngmG/bBktRO+NoyMW/Xn3K2yw8MRaJ+y3cml+zCL3RRQBhnBblsB2N6DJ88VfZEzZW +s8rjJofrnvSw3A98IYXAak04gowvgBCMlWvpE1jkSGnpVAjK60LbRwWe0SrXWWylZoLuSlvgSq/N +iH/UBcTFS50qGH3ptl9Z3T/Gp0ZtEBUV0kMYhZyuIzGt6oJeH/80/FOlZdvSs8e06Z5W45/PAJBb +puQ6RDONNwxotHDNN+oF2t52m5Smhh1kbQONixnE9z1YX30hpEbt9fhydQoPSNrOtJFXCUMFv9v3 +Jb1I+USWifzwXbKT5aGTxxA1L4T7/hygB1IX7D4OE2MiZ/ndxZLcXKq2T9Uw30VhUEV3BXyFctUU +uFEUtFim9SFXoR/RvTzng+Uk7vE0PuwVzgTrLNOKpHT6rnImRWgAyUJrbH5Jn4WWmJk9zOmvQj2q +aDkY+UhQdeGJUeXbwVJdLQgVVsi6VVpZ2a37hLuedDwGXs1MYoXsMr1wgO6NveNo9TPiNWQ6RCt8 +oSp4aVDM1zvtcaTyw2kq3sLtxjA2bN0qhcMr+/CW2AWou/Fyc3Dhk9YwBL7whHWzrz8W7Ey4dH57 +EvybivnLsb5zqWCcGNHj4evInW3it1l2S+QDwYKHL8sJ/qgTYPydRAOkadlDIki2LGsjFMam5OXb ++GtBFHkAHcLF622RQDYBm4wDjKuVs7XEVq0EidpNVcPeEjpTobhX5Ko1Bzd8hnEiDGoclf9wd8P2 +eb/BCsIC/Tzjj75s9ZBLtSq5OFhO64qC7/gCOw9l66/jauwt32YeXeCbtUS2juwvySXpTTFMW82X +afpNF64lIFrJiDMfS51KnPGNn91889BXo+kenIrWOXahFPSewmRUX8koA26BwwlBxKaAycJQox1V +F3YXImflE8Y4z+LPzD4lW/sYMK2SoFGhXpw6zMwU/XvrrKuYvcEHEH0wdI03tSHVTk6Rl4aYqrXl +d5d54O5U4n4LeBacjZHVUUiujV+I0GSaGpcdfToN9mGerhuyN9UmGzvIIZb2hXfeo5qKf2tT06Ec +CpRNL0/+dPIdBXwN5fCZBwrPkMAcuHJ4KdFTWUsBcyVCPm49/+CgrmJnAXSjBaUW1VvFfjRd52TC +NqUuI4rgwxyNroBLFYu7ZcJmwcfHWSe3zYgM+jErqmv3t4tebYNyis78r8CIF3P/BIRaHXyrExYX +8DAKbXzZ0k/Ql83zhNFxBjVEdaeVEOmx5d6T1OhK28GUUup1UmZH8KrKh1wJFivwFjjYyAgmqaPb +ywt4xoVlg7+hk9jrMpUm1cchVZ3ve7poqv+cAHC1CHzSQKUza8tWWVo2F4ZAMoGC7bqk+OpjwMzH +pjGtmZaibppqVCoDGSH8U8j8wueLLl1drZHljXV99gqft8ZcTvgQobyuxic8WC5hcmUNwl+qPaIs +fk2mCdAzaQSZmJZNbEQoZ5l5/o7NaIgm15aQWEpNbsne5I7NjCCx9Cz8i1Xvk5KuiA5URdxjTR9y +GLeg/uzFIbV95TmnLWTQq77JC0//MOpeIWhHBpMQ0OlXMRLpAv77mLc2PH0Ayok6PBKDeXgP5sGb +EiGqThpoqrM7VtY22SV/6EjPnC4p81QZFLVqCndL7OYdNLvZcQK/xbnV2I3MZ2Vq8nzakWytTUCj +I5q1kGISFAcEaJlzUuwxXQgApq3JzdU9gjeklJr+U0+KgvIaOx/2gq1Wm33M+fA4zi12GiqrQAVt +fk/s9DxNOhRp7Ed9aaHeZg8djed6JYTh36yLtmpbBaw33SyPAjIjAFqjWHeSkKgnA5IysLzjQbwW +zpap9aHGp8KlT5xki4tBfuC2WHR3wCB95aMxYEG1FdmtQyAlfT3Ocyxws/2Z7ovHuhb1lDNvdyh9 +/8udh7zd0xxXA5JIEQt/mEfscztRqIDfV+WNvY1ldgxejBuhqqsI8kXHLNMQnR+xZGAy0Ue/xU1G +Oi1h5Ir1GEsOee20gqlJhBuAwh+GFAbbG5mrQyaz78JuPVnptKp6FPneRlZQF8qW1ZdmL+dr8Ye6 +Oq3kC+v0pfvNYDYoD/KR7VxDjQhiKlZhV981hX/oU2SY34INjNChTPyR97sUpEI7R5afKcVSGFTu +aFd+jga2dsaKIfA406OX72q4CLH0v3XjLCltVSj7kWzAqMvMXsFvxWXdjw2JxZ0xe2rLeaU8yg/X +OlnCgqYpkK3C6JkvpYHuQbbwpalfTk7aHgBaczP3OCub/GHuddDr8CLAZc56ZBlJNAL9kK0Lie3q +HohA2RadKgjAt+iIPDMRscHw1IwQQ910a73p3WA1R8T2AGLfjDeeRegdXwHO9Hf6XgUPpCRz48Hh +4Wfr7qGWWIM33lA/oWO2OsQHrODJzT2V2JsHat5NF+BdXtEaVn890zDlJ5jdsFLxEqhRIvx7mEfp +C7YP8LjM0WO+jyGzgnoU3sCrKaCukCeEjsToeyzG52HDm6lPpcK4GpEON+BaIGn/es4Se9vS+Xit +okKtlYvfADSGU+Dp+2plSUNguuBfFS/bmxgI8C7piOxoPNHl9eM2xoAyUf9mJwRskF4ory/7i+Zh +WsF4x6b8mAa+BcheUkPsTiSTofQzCaR2mnuH+afRhYgnlIy0lIrXnf2jpWjU+K+bgJk+1r+WYHuK +FQRwcO0IWYn+0U++5/iaWaS36erlkzOqstjXCUu66xYbL6LpFp3dNCzD3/kRJmclmBHq2ixr3dVt +2E8cX/QyTyutH1ludG/MRihEROW2FoWlmjlDgZQKhogy1mmyjSyzVnRcUzIE36jXEmam2uJKUvc/ +lxdHyCjeFJrS/ttACxHEWMMpFuJgPfbWRA2d8xVkizdVBG5wbnSdvk2zY4Doc/2k3u/hXnFjS2QO +y2HM4RnLIVETtNddZXukw54msm3jYHe2gc1o+iLgrfies/E/HNNUx2Np/SC0cqHgqEdK2wTVGCob +O+1wjWTFsKgnNui7dUBhlxRbFbxde//hSYN6XvE+ZsSQyo474ub+Zbix4JYt4AOvQ6tatHri4tA8 +v6BdGIydKvxv5aIy7SnyWOKoo9UurfRxpr8NmD7TjSx8eqA6rCZoCoYrfUhpt9X7LHyYJ9Q6dwhN +j+wubTnO7sNl+HCz0NmuCUiPyhgro12REc6ww2QP2Tg0V3K7GT+YSsJNayESM1XEJYEcIYjO9ARQ +fp/7KqTbZ4EUVldM4LBQ0ouOXT65gbzzZXm75Fc8HdPfGp1ADV/iCRb4CQF8RkjP50+qNeaJwETP +fVq8xpwtx/cGVYkIOf+cymlGhvHbWTxV1Uc9G/QSGfAAZEu7v67hkkaojFvWgeu6LZhHuy8iEFhI +1NBUDH7gm/I6mzUHpo3HTxslbV68cd1TWf6128ILnJno0G1TBXDHn89LYfcjbtxsfpDVxcJNFHHs +Mk3wcO/suNu6j5wLO2COgqDepSkt7KuDpqUR4jneQ4k0UrFb+jw63bgIPBoFeTvWhLzq4dItIIiV +h/Q1vlWvR+ZMC7vKzxpRdHd60w4US0qUoYk2+RUY5vSna6QtyTMRAmXfPsgfR/0nTHd+fSSWHwx8 +trBStULA4o3DcltTTBhmFauuIhxelVJ7PF6tz/HtzsJ0Bui4B5uYfilMYYD2JUE+GuxWoHOPpm7W +F1jfZqgZCJcJkSTk1p3wRH/t9FYKvPAx/l+EXaT41XzmHRgJL4SnNYDHK4z9iUs3vjtCUOnGFxnU +hMUJUnZ8OzHrtoR9PJ0Wb4fIQYM5ZMdNjHU8vu2XlH+CRR/oAEo1RrYidcVeoV/dUKcc+rlBZnrc +HLYhpNg/mPVQ1lQt5wR7sJNs33bEGn9eQGov5WqkHtKcO2gkPXPR156dF9lFB4S1pY6SuAsyy2+p +zhzB/o8KwmC4dc77Lfn2l27Vnr/wUbg3MIcM05nN9ApvM+LCJPjAOrHPExBDfbQp0qzMGibisoEn +MFkzIavvDXFkdyg2KLgh5rE8UXPVmQ7Yx9/VAJR1sEzUP+rAOY9Bbwr+cy0kGXBV0iokJf2QvUMe +S99HOvxFSiZHK+AzASFexMIgdWPicgSwwvwhZzmRbYMgIe28LsUa7Y5kIpQUJyZRhFfdXn1ZyZdt +8JcQYl+PAK91CimnvsDDGlrsj11tJd3/nUQTRPbxmJWd3f63ELEtv3u3nNGOJntv5mFXAjOdb6Yr +fb2DFg+w7w1STQ/n9Ll2QIRH5qweJXWqimzZkKOuR1TYZ9nzYNgSJcfXlTFNS8mv0R16MexotmFE +9wfRtCmmd6mD5VCSfwL6ImBKCRi4Ob4+arcN9t86kdfGsSw1x4jFugDEMt7L5LE6/KOwYuI3pM0N +OSY4c3VzatLRehA7+laHiIu00keX7aqHGdQPHlaw5UV6jUBwoeuVCxQrTDVQpIbTXQSWVnVGw1cK +bGiD4F9mUEGQXPImEXcMtqSYYk1DBzVCQMeVRyot8w6ZhVHtL8ZSHSPhaqTjDdtdfqx2sq0fJx8l +E5qJnPIb4Jc6OQFXXFyw6EwSOifZ4pgg2vehvg3Qo/4Lyevxe/A6tlY/9ODNHYzFlkEM390pNB6Z +pqlY5CgYHBGcgzBM9upXJfeSoXXRArcxJwpMShI8PqQSYOgmUTIXd2hlCqMjhQNXntcP08EwcOHj +scskI+QH98AG/OZIIzzSIoCFwmrVQq2BXc124Sc0gynNvCyh0Ybzu4RlQYkWNz2JULY4KtrYyyLg +RXi3bR++SG46tjwpxPERShMtxCAx/Ik21/MP+sKemXubJv9r0hgs/rMhLSEOEKaQubqlRSRQ8kiG +H6Fez8prLMTD7Wt2fuzSU4VCnxb9hWV83HMbFVsVsvhYEvXAkEDGoiX8x0rHdRANwgG+dejnNtsQ +XknVeDplyGucdiajJpA19Iy11jX4AkM9BDCszXh1Kn3ECr3v+aL5UN9A3sOg+Cx0HHs776EhNY9K +4YHioPts4V+hq4Rgb/XFTRqf+qvKGhUJwYPkoZVy+M7n9YlmzLUfcJ1fRzZvFOhKZL+5gWFXnMfH +qOwZXKGCLhecTvYEOUi1Dsq6I4D3a9O6uAnrRx0j3fu0nexl1aSZi91by6bBKpjVS4DXryUnDN6t +ubCTlJpUwzMb0LK0T3k+DWAeZDjNSfw2igVQUPw8fEQw5709XjtpI9hJXqAVDMvWhHo+omvi4Ktq +kPBjl2ts+Rt+DDp+nuyUjq7zJY7conVvpTxKwuMvlEzKZF9J4fNGyJRb1DEgzr9YKHq3PEXmHgTN +YMMkLGeYm1D3PaNoh8O2RtKeBtDpHagbGt/CVV/J/z6zcoNbQk0xJYVwhEnJFf6USKYn+CF2Eejq +BN7xHUYhW1obRmimDnrHyFBLgj2tqwn74HKjYRExP1LI3579HhrpUGItaFgJGl4LesmMXRVZ33jG +UL2jiNF0rm5vBXOL559B8egbuY9LrFmV2FYeI+RyTC6xk8Pvsep64756OFgNMog6l5+YH6dSfWLQ +Ajwwq99LypHP4I8PJrKBy+m9k87W4GDX+nX9eX/tONO0A0zgi29QdJSGoaq5k/YYVa7nXNh4LRk7 +PHyLVWAa0WSNYK2oyJ99xgLmbCDX6HS+aDqBnQsjudkkt7/I3jvo3/sHgI1Is5Lw7vdTDwzVAAg0 +8EZPr0tiGdmW92JL2noANXSm2jRVlP1MtyBAy1kvEkJVRnpKfyXKA/Qi4QH/ubg/8dD2jucPdLCV +HHXUReORWrMDl0WYzxsPwxjzj089+WQkUiq9vJ1s2kIilkqGKpV07g3F52RlpOS8TIR9Uo2limTx +SNaUVvSoyk+Fq4T4BJOipxDGK8TMVKkPGcG7t84v9As34FMBXyKt3I4vjY4OhCIZ5Ou3BdLS23IH +MUj8d+WW88WOLJpuQ+VR+A3T3ll25ayn9Bwk0kctXZ3hB5P2C6uaY7HAJOR3he3MhXibVhFQULO0 +AeRsksVwhEqOhNoGTIe6WOlJ2va5hqJEgakM8mutEAB66ffmrFkSmmQ62En2c7D0qhuIE58cJupg +OhP+p96fdhqa1rKnQ5RAZKZnJvd+v6W2a2GKsm99UjeoqySUoQQ5MZFPDlGnNSoJ3wPipwtaQ97+ +lz+X7pgNUaOi70YRwz/L4kyODNxCIzA3pMODjZGl9i/eDYaws7Q3zHpTeQEaDhUQsxTGH1q4riiE +caouQJev0bpAUYXwUaAbIivqRE7crgbe8GmV9NwrNCqP+tM5+kZsDOqpyWMRP9m/FgAIhOKiMcKl +SI6XFzeOr95be9A5wJUti0WEYSVndyweSnnHQYixZMxd+Elqc0lnxwF+lZUju4H9BmLotMokhg8M +WipUaWIjlohihDgyn7LvwTKT7P45hLo7g7S/Mwc1g6LWcSHvp+nO60PskToRLAwqHFMF7h8Z8G9g +c6+dylf+GfLpk+hXzzujkG4cVANrnXWeeJ2jeRxqZdzG0hF+9KfqHZPmcS5MLeGFE23U02QrZS6L +Z4f2LfO2OvfmH1e4oRZk0I4z5gvVeJyOlUQ1N8/VpV/sknW4Mr+ferX5SCC4ghuCqbCquo6kCux5 +ZFA0Cf8xFM/HhB6tOXkSMUYe/1BphLsJ3CesDc2zBdzszqXm/N45oSEV9uuA+GaLeVQfR6zg6fcL +Q7udGUnX0PHnOVgnM33AzIgMwiWCcx/EMUpNiDQ/kfkdxhujCaP319JLFstQhMK4gxybTUjmD9Vl +5qWYn6Az9bCXjNo9budYQMBeXn5B7dzMSaU3HvY/gIk37iqvfEqMJZnZbVG0HLebIezqQMl7IwzP +j03U9eeQlq+E9RMh/Ks1+biOVVbyMREBd6FXoiWul261m+ZpK84F1L0nylRyLNY5OgtQW+roc55L +R1Wr6hX22SXcZWb2bWrsW1Iz8O4A7YmNUhPUGjzNRcZ5blrehqNtplUQLtQIUa3jOK8tE5GH8FkH +fmGFGTJYxy1Am5wKTldw+VHPzPKvY/y8fuagRo062F4U4rSzEwrcEKvR4k1NpME6479D2I0Shxq/ +KGDc7F+T68dFPRYnaaT/jI2urS7jAV4a8ZMxkzv+UH4BtmLlHHxErPL3HV3Sv/StUKjI6hCM1865 +Hda9Okd3mH9Z9lmEEVBY2IsnvZVjSlw1T6yGeZARx+MRSFnM11E2hSuUUFVB1qpVHIZO0ARS+n/k +BHeDjKmFqHwIybSab302ZlBRa8YRrdFXMtg++yeudfuAPIjgHGesDgNpQaX1pkryQYnlu4NEHky2 +jIs16aTwgS0QYCGs7ZiBQaUPKvzhqCt4kvZFsmGcNHzWVHBAbuSDKxlS0sWy8PE2vTt9BzeawrHu +adRh1r96Ic2wP2oLdnkZYLdRE/QVONnn9AXKON5empCzq1DOaO+Yvd7LeWCpt3zGY/CwuO4BmYyb +BHR5AoPlUrPU9GMK5/1zm5rKPPsww3GcoTt09w7hPVZ8Ye1ABHNI9qCaq+UE006kQwOdryEN7jwH +IC1whAsQYAweYzr3KD/bdEWCMSM95KJhzTqo0KwRXtPQLQ+bwhvwIHE+7UzHPyua78SlLpU7gaRc +beehF3eCm4FuwsQSFyM21KrQdCUx8Ky7E8C50SVwA3e7yMq3W9pBly96NR3JKVmLI7AWa/tm/wEi +weR3nloh8JHlwgybqayYH89pBBKxtRsvGfuc2W3/mmwnder2J7KSBYjkbuw4MQ/wLR1I0Y1d8Z6f +12fzoX7MtJ1suwUP9fpO/OngDkW05yOaIdgdtVvAy96ChJ3oE9RCnBz3tqiXMEOb2iYuERMNWTnN +Up+gKq0QzSn7CjBII0HYTM40U6sLTOj0bdDjOl1DAJIt0W7I1112mMxZonib6rig9VlzniBu6jVo +mgtRqnNPKRmYpESkfo0uNBaFmYSDezsVw6vYXwgm4fMCJNf0oTA3N56mkgUAm0NkWrGXhzD5qHtG +TMUz0vMTL3V+IneJTyLgWxeRzyCnLntF6zK6ssz1bqYjcOhcOSb+3VBQecHRG9/Y+4dCf2zgdav+ +jTbQBqmiXrApd1rcvjG1N2R9oc19vlVfjrNaw5Pn87U96jQ2qaPLmg9NY+BvBQmDf6EjpUvHYQY7 +knuVuCq2mO6Bh7xtr4hYKDQ9he79/PolBSasZJ5jRCfHEL5y5lqeEvw5xL++vf5yXCnGs2i0xfQZ +0pS8C8JJZ3dXbG3hJSgRG8MgVEgcBbyWQ2ooeEnEmHeDVJdfu5VBwKgFtPxd6zyUwwZn40Z7UNHl +t7eN7TcgWY4RZ1uqg8EGkxjfXj8Pd1unFN7QsiDDIkYAtos4fUlzX4lVCdsVh1x4S+6Yhg11OBPh +OAVi8oNxvCmkb53l5VcokQcK1dnRX7k9NkpBWDUMZeloklsUH9T4VaPe0ZV5nQNCQRVxJLXUiNtp +mmLOTRO2xjTGpNLJIp6vLU46nkG0uYjpvitWmgGaZErRw58pZYnJ093sVqo2FDfh7o9PzU4PisLe +yjFTinZNYkbVjRH1eTBB/+Q6HlWodwTKzxj/mQtDOJtw49tfKXBRM+riAQDklMzz+pxCclBK26bc +Kljwz+hVYwxnEEEA0OUjQZCWY+zqbnbVYCOt3P/pRjfYGDQ/DOD5VJc1WVL6mwDCZqQjAYdtuCMA +FFWqerFal+o2AN4kP0nTiTbbpvq1ofqdoaq3Ti8aU6YrXl8F1gAHiruhDr95pCJbPtwwlGVD5BCF +xyCBcsKQDT3vmGkc+c5ZOrJ+Ph0cGu/j0+dpobimR0MmMlu8tMpzCI2LX1fleXJ5ZSib2eZQEgiR +N9v5JjpBgXXpIf6NYVM//lv0AUBsk3+QldCbk1hYOOIWd9J5JPq0dx25qora3PlbD5ChFORkDGa0 +B3BIBiuwzLzzCARkP7KcnOJOEzLDEFztI3vTP5D3yDre8kZN/sqdPP2tZeszSiZv8idqlpyNxUJ/ +cHtFiVmCw8AtYQKEj5Lrv4V1zvqgIShPd81VWmwsv4+LfajO+cpqyxN9EXa5rHobBQUFAPTZNiVU +Y3oQ0mdV2TMCOjf+VYwNrsx6e3rsHzbkkDk/qECsHdDyrpKP3pFJcpmZiHALKWQRCkBaX67ATOMx +i85uOLfRCVu0cJpgjCTUhxz6NoapmsgaZAJWOqqcNNo2HWQoPvtTcpLsv+XgaAA+OSEvy4XIAHsj +07pCWIVhI/vOSt2dRJN1/ydeM4hyTvyds0Ud/XJJ6aUF6sGmCJRlvW4U4Ur7z2AYOhJ38e2ydV92 +/eoKp9tovNBYLa9QM7nFuVvim2gqYsHqf16oUTNSpCzuwnenvRUWF7Ad+CvlG/wuO7NryCnk5/NC +MTI+ePnN0PEEcVamLlqAHPotSKE0Zx2CtpfIYLdX/xwl8FUIlal1R4i3iaTq7zbtEzuD53OHnKjS +rklNAVvKKOs+ILnB2oc79uQe7smGabpMg2Ubtw7AHMp4yqZXVUMCx6d7aGolYMyF2yn+vNQYZohD +tSdgZhvf81ULp2mrdH+0J3bx/fl2M0Ixwn8NGTMZoX38VMd3aROIDTHua/VfVPz3+YSl7CqPCzrH +eSXGBAkIQu/y/8NORkaXJYIfSONkWznAFR4wu+EQSgpqPSkC7QmPYFRAwGMGUCYQ6Ya4e5qoWp45 +v3XjsI+zsR9EHhrk+df2a+VU9l9fsMUMb/gEmMiGNceGX9L1QMn5FKkLyi4PHzEhChyX4O4FziQk +0BdXr1W4+C33WFbkO3vhy6SxdoroNqieSh2TWrhJ1tVSFtoHGMRdwzR0MJAEiDL232U75+QbkAbC +ja5Kf/AmgYfkqZFQm0wBFqmjHAJqC659RjfWI2LRkklzc4i/5pZHuEYYpm12hxvm/wN72PLSdAKK +niPQgCNQ+HexkuyhLf76IGUQ+iXSiznms/WbQWzj7rXPmjdYTjas17Nk7HvK/s5B+OXjWTCjLhA1 +9JQYeEsjLY0EePKhy+Lv9g5TA3Ol1xkjj3XCe6CjBiFRdXROUB1KsGTNn8IvuclMrlPUW+4nzAoM +xtaUV9QPnS800zXz+U4A7X813FMP4JnTguJHDuECsb53RACnGjpbshiSkdaFu0Q5/SsnE3tLG9GM +ql3V61QyH8WW0wJHbBnYqelC0Sr4BJO+w6T1Rtl+nhCqDIebYXgsYFr5bE0oCkpJPQOgKJvmbRwq +ITvOA2kcivt6Ff1ypxZ+d9Hs7UoGuh565hKzJwX6Sn/Ddv3eP5MCumq1vRk+NwglLLE3DVnwvOfg +O9ZmZtUEUPwGr79NcX1o3skZrU5jk9zkzrnYWaUlgszhclyf/dgqazIL2H16wuWYp+XjOs4NEeZ2 +ZAAFEbMhzw7t6HmkEO4ZoBqTOnxXV01lbFTT1xrqFehQ0/HXSdqE8eecuF4SdPhqHQyZv8TIU/mt +hDrULEzrqV5jvNZYFf39vfaB8UhQdwskYJhiMIqzmKJNyNUlFRwEcwzLJ0E6VrxULU0hoZtMNDD0 +7lXYRy7SY23pGHnI52eLgoeuAMgCWiBa/mEsCMJwjDRa6Ezz77drfwLNK2dcl0tv3m4JYKxCDHhW +oO+AUCW6p18Z695lHGOLGHfMB/a2EZgR1B+22YSIH5ATjBUBkY4so1F2VnkpdCpVLUOL3OWzrPSL +DW4B3ulW9NGieWo4g9NtKfRMrTT+OmcIObdi4kw4DzBWW9MOYv0r+m1YudJfLBpzG2U2Xtoh2HPG +wbIEDhq384oYzwKWk2t6Epp0xJGYydMsb5N/e82bP0WG61rbfM282mGtVJNxUfYGrdH30zhycOvB +vK/2O/DqdtpWxVEQcz7EQYJn4RwZy27X/yYP+JXiTvIy89bws/Xv+Vm0/l39gEOAauJmCq94HtCQ +Di+YuNhuNWVs0Gk23G3/C35kdRmbuCgb5neswR3Un0qljkObA3IJFwGMfDVjZJ3YyesAvRuQJuOL +E/4Vy4JPHa1K/Fnd2HgL6JZ73kstuQUjwmzal4TZsjArI/6iwVJinfK/C33RS0UGE73bKRSSbhBM +kbaYoFnwdFnSGFppmQHcwAFTsxuMmhgWETTzXY4mQmxmLboRij4BSuXmn6eckUUOJE33SL0iSxpz +CqvJeuBlW6u2lpAnyg3A/s4ZFWCKwUP4aqKv+AJsIl2OpikX9ryuKxlJuNjnPR2ExnjooBs+sMGO +OfZIfnAlRGTSzjKWfsaW1Agi/4bn3i7lGmc7AC2RD50nk0FT6mcz+TUM5+rfkdAaTMBQI+j6y0Eg +3lk3q5ThcQKxmcNZL8EPoqV9ZGtbGMsiHWc4bqKnz9S2sF6HIM0FX093qJ+MqvAtmUS0zGO3HC06 +FNNtmN6/K7AdVNHIcg/zeewWrdo09oavnaMBBVa6A/5QFRRvib2BbLlHiR6zXtU5qc2cAHA7eWYA +Aldx0RjGk1CC02/WL845fouMKmIVys99oo7tFGoM7aDFqWihqABG9a8S2PUeZ5FhkG9ZqOLIJXzU +tME5H49bNwHqgidLkF2Wxv7tMJdA69c0YMSldZuOhj2YpF+tpSVjC8d5X/buDZoaGwsRFNk/PTGS +JKZ/dtFqWU/PLZ11uxe623oVsDTU2IHZSb7T0RzPzSI+khD0ZM4JHn/WLvqdFnCue+RsIC/7TtQP +lZwaOdJYo+SXnShhOcPBqcx5tpvqWvX7TbqziiyEYTZUM3IVCo3buko3H/A6kj9Rwq7c6gxgu2q0 +iBuyiZEmDJCAsePlzJTwKBn3Xj+3E92a0oTWnJ1f6RNluHCAwxouQMtuutCvjnDXuVhNwbE3pUFZ +cUqJfcY7ybJIcdSvFhu4fmljjjK/+Fhnen4egjBWSB85fyE9QhRo50WSoxBXFGkpOOdDABbp70P7 +qx6VOCXv2cJ9AC4Ij7zbAahQyhBjnOffQRr0QXExsQW2YRETGZUMzp5kAbSI9p9wMrAzcl6EfE1+ +oj8Sr0KL1u4bg6p0wbJcpKgHU09kv3mcXeqfVd96+cavpVXPy4wu2eE1tgMiUHjcQxf8P3ck/W20 +GOA1B/4WipmUohW/TEDyw3CpDUIZE1yqdTS1WjYlLtFgsebSeXsc21r/bI+ughYCSIhXu+5Iw41j +A439IvyopSptrCMsbFHWSSKbFQ0M0r46SkEr83H1hrq+TctpUk8QSNypQtopXD/Vssr4V3BuxeJr +wU2/0s1mJvW2M3v1U0VSBNUdCa/CLalgHXkb2w88Dpgrfa0fmd2NhhnJYZlGqBvfOsMidWGeksel +Sh/46kM9cg71DJBqjXBWIs+4otQGy4CUDgJzkaUpGUeOZP/ebd87IHR0mVp27bhNBiFI0qmmk8Hw +RZye0qmu3WQdwZpZ+Nmz3Nh6+hj6m0em3MscNH6SaGI/6Syusl/jYz/QfwftCeAVLbTE0fQf+j3A +xlANyFZ7n9fk2G5uvOGp2EnMXuiPksqPW41omZ0cWm44HM2jV+nuMynJAw5sNLJC9qrOPqCgVoxj +IeEtLkwhXowyr+QJHp9H9WSXfZa4fH5HPE3qcGLnFVJEMktSk51/MXS3f8CjvoPXz70OloI01kd6 +KLNWKhdfnRSy5Fdv45kUKmH6o6f56/peB1IwguoN/9QyHWriv27Ch123tCmhyi64Cc69C0R8+PCp +apfaj0Qb24afdQ2iXmO8Bxr3LLVMNpAX9ZvCGIMiwK3xxCP6zGSP9b/2n3UgQGHG6YaQjm3L+jOs +WSs99SIg9VhjOamcGMsDgeZ7orm86Gptfbr8eOGLIbXiryggArUHoISzCXwU5phTTXAzL7csxP9b +GTJoRd2RYR4JgnTsmW4V0JLgHWOhH1cJAl5ysZWzEV63TBxmsExekgS/zZlqBf+AzgK5cIb5VJY1 +hmkbK7p0qNsTcj0sUCAzB17k25mp0VXueT4S+f5pcmvXAnruH1nWGA3daeyi9K7EXooe/I8GKJX0 +j/LRVNKwjL1DoP65bk59JyU0ZeA56396SffokN23GRFkwqmPL1LBlbQEbi2S/K14EbJS0RNmCIy+ +zVZBEiK7TJVL/wSmU/e+WcB7P21JO1xPs8mLP9AbzwHPcmBQ7Nrr+q8gWEYa5BFst78lAesK6OPv +pxvLp4mzxrW756sUTodKTycAf9B4d0mjaxQbtJOBqCyTOPAICzMJeZx2TbJp9EQIvU4/wNdbo1yT +SqXDgxyphevuI8aPGsr0tHe3MSg/eQDgYMsfJ3RzrlHjg/yyTN8mi2Ym15B4OtCN8RJFzGEx7NXa +t07wZO2S4Xnq1TQiZ+L4zODkEZdsY/k+2jGlqy8VSaldxoMOjzkFIDtIIUG1xzBaYoqP8QbSivrf +FFaPddHxcNRczkc43vatx3NKtRUpzOYSwWuqvKYQYuKW6pxdBcia5KwgJWtrsE+T+MaISHbSDJPB +Xbgs4a+yia1Muay2Jhnr5QPufrv16AbR0EPS8r1FPMBVU0I/9eoNW5D0r0aZAXw7h8MAhuoTdFN5 +b3DcHvFBD6ml29aVxzDuKGv/RWwz+Fj+87cdVX8bi5xRHG4hEK+W2nyMVDAm6LVL+tk42L0pSG3A +dYoBLQgFTVyW2aeNiAN9secGrjUu2dJRJlBMYpFi2turmDsaufScDL8V0+O3at/cumd70WnVrJBr +VX39ioIaWN7TIbfZTK31Zu06G9ISQ1H57Bdejz2Pxd+HwyuifgCG5s9FA8Z0eKvyvDgzqFF2UlL/ +/HPjJQEStLRRaYPz4MdaBt1nZiJ7WlQ+Q4nPXRAOH0Xpdw/cIvNbUOhEDdV5/9x3Ug0YKxEN54Kt +mXRaKTU7xYoj/ECT1dhHa899D/rBxUosYuG0NenOJY0eedjQnnqNSIAIdxapm3FzICSg+VvDtsmt +Ru7pO3klZNsdj0zzKQ2CbfR7IzBa3CBd1Cyv1zeqITSGzfdyid5ZIDU1KN3DB3G0k2TtiCK33Y8i +wfZgfrt4xpeW3Cd3ors88iuIHEN0cqJC80MEgKnmc4J1trlKsZkmJoGRlY/VIcwjOAt7kCCz1tj/ +krRBoh13BoTKsceJt27QANN0dI9f9Wjn3aVlCyJqCDzgqiXU8fG9RqBn+DpAMjnWU+6P2pudZoTe +S9M/22EuTO4Zi5e1wnRBOdnk6THfluew1NNob+wScQD+4ui0MCXhruv16uXgeFKI3XhloOZuuS+W +BscZhMApJcf+lW+0nE1JoYtpXlORC1xr0lHKR2Fz5Sgp0Tpxx2br0uhKvZTe2IlRRoLgIUwaR2cE +JNoh453dkIvPS063+VnRhV7lpwYLiuQd09NJloDq0C9XhlQ3t2JThRqN0KzN++3aavCzXLFr30I4 +t4DSrCsXMe/hH2Lskv0aCLU/DrfHLZq6nzxsny3WW597qr4eMCiDvYkV6wrX22CQS1G/00RV8SL3 +kNFwPPLVxgJh0bvSxl6uy8wV0CB++U/MojK9bv/qhYx9dmvGL468UNsze/pGYAS5buo+U4zEipl3 +Yph9weKXZUeTpqon1n1egrCQqqkhQpJ0gAgPDUYUBJQqMKofyEq5/W9wGulcF6iM12XP7Cga47ru +HDzRm7Pd/mE8I53WQXzpNRhrNE3W7Ow+8PmCnzkjQ+UfsqoLuHvpCdNwjTeLCQ2DpIglNl9fA0iB ++9kL4TCtWMNlyx1eqHrmO1ZGi4kXgedxSaA1odsHUFFGgh+fo4Ll24tONyk/dE+uGzRVrl6Rrsxy +TBhNDQcxPyn0zBCpdl+eaWW7bS0U4IIT7nZ74fYKO7RouLLflXcPjH1lDpjJYIaU6cFbe/SZD4yN +q9DaVZFnGft9zl54br0DaqNk3GtbUM45HXkbzRg3DEp6+NV5Dn7IKs0cjBSnHn5CfAxtRgWaDEuZ +osRUSuYDSWVnu6C4gKve7xHjfCmEEoAgVKJhRUYdZqHeyyIh1bkRa/8dBQUhHpx+zZ7Wcjs/hNOv +wYyjxTfNXVBbepI/Vflt9JozV/A7BXQgBiO1gW6+SRjcJOEYb2K19RttbOXQch2GDDN91K65ttr5 +eOXEMeSroNGTCf6ma/qGHZU/+lxsxy3039Lpf6kndGwtqhjzpVtZMtH1+YJ4KPqQg1xyYA5SUoAx +HjyN6Ux3WEKpdUUczqEvKrQmXZ76HL04gc9WBH4TNnt1JVoV6jyNAoOyDSfGGOclpGEDnr7QdN1o +1FpopjF9gra2VWJzJo07cx1bj9XUgKyICbC3S1xVHC6jqaux7iBYSJ2K20fbzNvitUIDLMeNnR8f +O3DXxRt3ggjvfRmWpNj2cT1B3BwghTVnPspGJP+t7y7PDDx68pk7zDpTBSNpRhibOaUALnqkb3R4 +y57DjSSbqD0eqUSlWIfxCcee3zb09gilJuiZcZWnG9B58WnSerpYX7eV5c2L7Npu0mc87j/oVtDI +LnsW7w1oH0exE0iS7LH/lA+j2rinMvvn6EI+EvRuerPM8WhDwNq0ex62PUtLVMeNzx9r8j6a6wuG +NXj22sojMutl93x/eZ0WmJahzymCFqEUiRjDVnykZH5lFawUCNHpWdDzCodrmT4P5o6OusTMGntg +TgIobX32tgn4yl9V7ryKTyl/joMvYdJx4EhldK/UGMXZhfb1TvQhrTtsKXBAQOed4VkgDtIu4iK3 +G+a491nc945aizk+Ufi8Bo/Si99+L0fYG7h9S8dX+L5sr8JXEh3x0jk0dC0pv5bkkMI6LzVSK5zI +PbYpZW0aETX0y8bJ5mcRugCiuV0pfLM/Bh55XHwQz8kfIS4xx0f4162m+F+kbsz77MqpwOODLVvv +OjTbXmzJIin3mAtTd5DbHpxb+c1Mo8LIqsSYPgFxGMfkg8JAJp6Bvz0+HXbNfSzQRh2fhmmAw1oJ +vy9GJYVStwswPn8kvvaCLOLwfvwf3XImbG9PONhae4xBUDf/FMGYgfIgYGL+LrQ6HMH/6yWfiNwT +QIHdEbJn4w+QfHaNITkwTXd4UReRGEPHiSqtjkBsHefNT9njhc7NM+qXOO08Kq76GaAtaSmnX4Mt +xH7ZTRZPD5gIK7ycDGhiDHzxXXQiWh25y2tlkuZ+q7FClYYcnJ/PCevvxWUNpnOGZGfO1RAm4pKf +q1ksHCZyZzJCv7pUZQVf67HRuGP5p4si0KaTRlQQI+9IMxnutwp83md8FInuxpLpARBiWoW5DxhY +koSsoEOjtU5OFkp7+KQuHj2eMUBGiPuOOnSIe9Kbp9vtM3d1X3Xj6S4Qcn5q3MWVQfU6LpaQa4im +F/kRjrOhA68nfHeJoOvqT75n0ol97YvlekHnOO6EndsfNjiBJj/owtCjKkFbp96ExmGreGR6DBxh +ghpiBMAwoiR8CCdAUPevPrZ46QzSR9NuROPQCbYaD4lh+Zm4Eu1DuEkvNRRBkb4kl5Wval6z2mIE +KO8USAvMAMVHL+d3lRecTgq1aoJCAdgzbI12GposbZkqxSkDZNRU62bNfAODiFrxIUon7bhEMifG +v6tQZHZ+DIUNAggI5ag5ALtxeWESrpeW6W5hiH3mKTbYyXNy9Wyb1XABNrosEa+HRPD6ikovP57y +URZ8yucC5jzKF02mKR6IgpADkOVnS1F3FLrdHErzEXOO1gYXr/uRQ4+Y3N+Tr/bvBYMk54WOmIwn +EJwddUy0AQfWB82bjfcITpCyi3Sw1kUh/qARg/QCjIlwpwr+Dk8rinwr0w+2ApTIGDN51u82oOM9 +jLHTHlCaV0XfthqilQ56JvDm1CkF1WuX4yF43+GXOFMFCSuvz6iOGNCkCQSKinaQxcdmmn1wA4AY +44hAJwLVH9PG038MeDRCKBB15wVtAdVWaj4qqlFi0YZYfG68hCELx/q+l8We53M2EfPwLQ8FgYFI +M8pfT8HtN7FL4vzGWAjKrIudPaSIq60/j1pxDalPlT4wIxA8w3cFcWjT03Lchm7QO9oVUfdOyvd6 +z+aBHzZ9uN9v63V65+lBgjSvOGN2Iep2Nlsv51iEFUlFCSmiOC4mMJV+ojuvy8Pby6BtlfqUlnDT +IGrIRJ/3L5RKEXUfw/WT1drNiE75pEeTiOPZnCIcOP2kM8TKtnzpswiP++VBjRbN1c9NZ9YVnZzk +qC3NpbixIsewyd2SsDTWsI5Q8vRqNZIlflxmbkvWpPFf5awd43uzommIiT1i2kKdeC5Z9i9ehMox +QGAbGpqRTzLWtKhbedktvAVtkMBnQLfLPKaw+mSq9PbCbawf+VRDLxc6BGei0ymjbIzUv9h2nJwl +QSQe6zbYGU0/74wZywQWCLGoynrBAUmCALHKLahFxj4zfOe73TKK8gRkV8UgXPQb277o8kkf5sPH +XiTTpgvX5yZVwpEIIdc9hrzfYAzFLz1F+B2MToaCqSS8Q2biO9ZCRbYwUeV72ibXSinz+cDItM7l +vka7DTIBwCRZvTPdrJ/4tBc3QfA+80GS1XQ0odSdFZWHTts6RD6iFrwA0NlsC30e8cY+eVy29sB1 +JgatFj0N07OnayuJDSlscCE6gDR2f5jTgMqptThhxSJsiH0KZ9NVRt+/4jhDzTZ/LzC1HZgqi+SC +tuk6/Mv20gphrmUUWuW+Tvv7jFKjM1QV8IKtoQdNPXt/sssWUAF/Jbmv3JOR790QD72EgGu1QjpJ +rn/2UrAcikp7FVo0TvQVwDYKl7z28KKwrkq0ECiM3teZdRBYHE70/RgIMywrMd26kQuqcJ4UROhX +ddq6VO0Kv0iTfXugreE+ZMfLsyhpHHmIhEMebvBDS/xidxaN+2LkjDfQ/b63kz95ufXLiBVoaMuR +0RJnQpCdaiXGLeGM9RinSqthgoV4JulOjwZR02vSDO5hfl4NgFy2rC05UhaA3pSIx31FfaHFg8U+ +dr0b4Ke3zvQaKFqFVB9BjSJ+1LMCuGdfVfav49WKEh3RXgadeFWBhjPOt5lULIwZrUUX9yfVkuCe +kYPMLGXVRPLGRLbW6EOSIdn8pte/iGv8T5Cw2ZZjZgDoA6S5asMpOWPO8Gvy+AGIvy9bzptkCvP5 +vJ5k4tM/a/6Hef23vfAeqMzDD7k3ZcJJtCuZTR0n/8nE/Mnd0kEELftvrqZ4zHwChfDz3dc5b24h +LRorYKyjvHP7X4OR7AOsYajMajnm4A1sb4hjUqqxMaZbRPsSK+Na3Fr7STpvJwMcJ8E0Zqg66cKy +pHwpPqdlYMKyByAObr/EtrMjRQK/8VnUy+EExy5GFyzfnFqa1/5EeCqtxPePCD7MgGN+A/zBdtiG +pOpBQcJsYqmUdz/UgqcBgcKM3LJThPcppMnR0eggL9Afl4eDzlVmzonUu5NuK5iqECYLrxdADSwr +eJwO0CLTFjDTvXaUjyCdBl+3u2/JcR+64vwD62v505EAFUAitiS2tqG+UzXl0t/qloirOhAfU16r +9VoVjdtZX03UyYCgaRN20RflDlW571xLx62EfS+p97dQM2quePqobkzI9vNdQRZ9x4MTu2Vw5Hl7 +EXoj0qkfsL4XlwrVxXI85nSGMX8lfl051T3DxM96VyjZNI94oauW6lwpllOScxFkL5efOD9UxFRg +GY3afXoDiD6xdfx8TSf0b+oshjrt7MAD3aCAM0wc+SW6Rx0bXkf14C6jdpgXJAGnrcAFCB3ejaNi +s1mkFYZIF4FckKVnOX4OPmiWI25HLf2DknAgsUeyDK0UkvBmX3goTMxJ3jS/6Fd5MnMPUt5vx2XB +jDga4Qk8HT7EqkM5sDUUgxLbVUJXFBmMaxnL0R21ZG5+7Ll7n59x8O32PFYa7tSm0wkkU3gAB2j8 +3///HBzYPCaEH99i0LHSy6oJFVMGBopDceAiFZPbnOlWP9laGv4BN+F/nbQ/H4jnn3xoNg70+1vT +HTDwjEe43LEfQIcHX/ooao7qgAbn8ExiVYGMtypYr9WRVDjzE3DWr4c+fPr1oE70nyuGZcNtj8Li +kx18/s3Dnw0dSBD0t0V7Pk4aW0hM2CYw3vMQkTm5/SrXMFByD22jhVkcAN+op9rcXTKmfJNgiYJs +bW49yffmQKyYJZqDDQ8ik1lfYFsTl22iph1FiYLi/T+a/kFH8p6zWs/793uuGsigt1Z0wWO5FBIS +KiY9E9Rn08PtNNBfjJdy91EX8M+JppOaREeY+PvgVIe0FmaeraaUsMDFQt0wUUpsRQdLlvVQNw2+ +3TBp5k8xmRSRJT+zSmclPNPhhVi0xP8zLFnMG+PADTokG2fRq8QZBwGjR61o/k3CyyrHODt0vPDL +q9FkDyPd8DRnKCTm87T3HLbQTuhFMtBD3lWv85FFDvhSVtXzs33eU/WnLzME21b0T/nw03OytP2O +tKAF7Lyi+Ko84wYiUtN4F8Qb93LZRwl04pv1o+je9585HHT8V+ZAllQp8W/DS0Hq6tjgSWp5uM0a +OavyJrTpMcIQCe/9jkkavP+hCpzw6+o7xhywwOEhwRr95z8X1cRm7Gl6hxoM9eqEJuEHspleicfE +VbOvnldHCJA9Yvvw4esivdu5WyBo0Vo8OjycI8++oBLLzxoUw0S634ivnyDTcSTyV0yLKpwvQbjp +afSDkDhLZF0wl7i4pyC4NlF3jpXMETF9Da/FbOjU9z1a4bvxww+XouEyvb42Ys7Jhr4K0/Rxrc09 +KQQrE+bWisRrNnb70Cu6yL94nbjbnwpm2nkVKA/VKWi3tTmQA3EKuoOGDjn6SraFMbC3ojHs9gcY +kXIaXOAM+O4b2uA9PJ8zp8+s9ISzEQO4TZLLEtg+CwJjM9+ztIQRwjKr9Jc2ZJPDtybh/8ZI/OvC +xPRDOg6CtBo71mCqm2B67E2jq0lEyqMbEN4QwmlSZoFkO6yD4lzp5mF3hYIfB56hd4fASxzUjdVM +2xwuGRyMleUbKe0w4OXj7wHj2S/SMkh/pdLYOXjELR5q/dQx3T7nM2/00HrRFudG60GMNEruyH6L +vvHUIXA7LcXpHNYJT/2rxCXjHPp5ZEaIpzqDgxQ3Q3EZgr/85momyNCW5uZzWQP1e7x9yrHV3OLI +fEh2bTTfgy4R/BIgULzPhrL7WD5sfZ4LYp5gOIBI5QLVdcc5EHSaBXbzlESwDHB8Z5nSEqDE4Q/7 +SA02RzNm0/d+4Yp77ebNAadBBQKGxzbT3Uw9e+ArgCQ+FkXVJjCH0pxeI2sg9aTy67lDhU9uhuuL +5xn1tfw3GnpNTemZaDqkOHRkRKczZqm5FerA9ewFJ3sIMvUbUZF2kFtmV76i2JZWn4nVmtRbFDQv +1Y8y1AauPsxtsKQvAjMNva6PY6g5PORbVkkoWE3XA/BWxZOcbCD08Cs9pBbmX+7/CLMAQw11Ke0k +pIJ/rlU+27Fn4YOEVfToB7jK1fP4I4E8EGiES5GhU67pQ7zmDxf+r0AfnEi35HRSBSpeI58+4Zr3 +397On69EJ5CxdoGVXj45YPGMP6Z9N2VZOOLnkbBsxF8adE3qNM2VRjzLShtg1jDPv3/7JVc/7x2g +97ewNBXQz/qLvPKuYOI1NtFLaP3Z3HdoBxRl22+DlaNpLS6C7VvWMo1mWx2cHMpLzNb3Cs3B1l8d +4btcBDy+N/lqXYAoNHMM3T2ZyBbzWymOsxLxF7v6VZiPOE1cWVdYW3l0EV2fsNDmP49V9Z8RdKum +RHWCAC5UwiQ4RLwYX3qddWYCS/6bOepz09jclwy/7pU1Rk041JeBclub3rap2x9vElAPrSO8kqt1 +dG9yXYVe/myeZ0uNyzNLA5h6oehpYdm6WPk8tBC9O6jLsVoWArF2zxWfqfMZxMUcq82tMIOUI23F +Nx3zHXjBWA2UlQQcQghL8VzglZFajUpU1dAMxsCGxDArrh3+18d+9QFSTRN6J/SttXMQ1fQRHhbi +cRE647dyRk+1GwzTLsr/MGZk+SgQ3NYlY18ZvtzKJtDrBdj0awivKhjLUo0WOx9sX5ZTixfVco46 +aZ1xXpD4j55c0+ExlvZol3gRlESOXvn35H56iiXs+VVrIredE4TfShBqls9HN2Fto2DxL/0jX9np +ji3PYuSJcjJiPe6Oq8dwhZbzU3xxOvIXUyZ/8AW+aQcRMX41WhUULhh7N0zz48UbcplGvTFdLX/V +Kn6ynl5UXoHyimRpSADD4zEpzO86ETDlobHnK2Nr5j2IWtSVkCU5nWRde/5O21bw244CiNvoMUCk +OFD1YKo340FtsJ9eGRqTzEwfiF+DXaCzqjSjGLaup4mhvuN6ygQir5isBmO92ugS/mWRiB5hI13s +XcX5QzMEUB9WYLcFf21ZbaBk+1ezpReXUfWIABXDERpHRqF3JGqcXE8zywSkRwG8h+taPWxAc0KI +2AevcuttdLoZYDrlpWm9LZyxhceeWYbISy64+VnvsQxVgPlvo47uVSlTJEJSz03GyxAxllIRtExE +liozjbGGzvA6qIUOjckSfscaJW0fzHaO8//UKx2anx2nYZh4RN505jEC6sKlSvBBIlpIqLIoTaX2 +5FQhIwQ3u0VWa7cMBSghyyAgetLU71R2QF1LcTSTMOYpA2rAniL6Mq6G7QRd+oE0x7h1LJK5sgGA +SbZJi5q5hRZmoHzPPG8iHHcudyq1H98Eaqen4w1A7G5tT00E4t5UIoVgbtY6Hh4BgelFyHJfH6k9 +VLK+E4hbAm7kpnCHuGtGG+QOVzx69Hfngs4heKmdl7/GhGEKrvKXo4qyIiBX5biUXgHo2yUvDskd +qVMZmCYshYBimc0VUlLPMdjtUlm2XrTSAw7P0BaxkUeTHkjNi8rHuMTQXcBtlaC1UM5PqKGVYzA3 +sFA1trwlihcvBcrKUnlNKcTiN8h1niQtTCtYZJp4w02rJHMGcG5Wxuta+JcaT2MkxhTdr6Uv4xnA +evwJhnVaQxR/OPx04Cn8vN13tzRYeEZNon9QhBM+/m1ioxEJcIczyKYDy2YnXboLY43l8DQBIWBe +GDsSDW/lsl2QcxVWym9G1lJUPZrCAH79oZjktf0OyWxwE9MWApnWD4NlMiGJ4Hg/UYUOxzRTO+rZ +lDv7e8oCBUQ5fsjuHhKsc+dmSx0L7zw/lwrATtI7mnJqWfK3SQJcrPD8FLj1OpKkXfpXztV/BeGc +yjAkksWRHneYQdrMmQZgPO8gAwG0xVGXTWiv5XKBrZy8M6zFKjZCVHC81OipkjhEnbIV+wU9Q9IF +vc9NZj1uDBE++i4JTx2mwjN69bdIShiwXadKtZELSY1v4rMNP5QyhU88QRmgx+6+yn2bdi1EvSMg +VySRvyZock02KgEMNP3Gqlbp5+zFDvx7h7gXsMVpOFyYG5CWgNinZ2HkoPSYr74mCqtnAhiovi7C +eSFMlMYvU5d51CkKN0FALA97oUJO1B3IrtTMURKfefmU+dHCOZcAQaK/JZlVs2XveteEKdxY2+0X +CrL61D4smBHWSctCdWRsw7dJLCt7fihDISw9ALK+/WYWhtZV0EsmTV4OCFrSb3cwz2Ok1yZs2C3G +WeGbhQYOCqpK2jA8J7a3D43flVTVJY170mgGOd7tXYTtvDKTu1E9bo0WdRx8YY76eQOrt0yUp4xV +G0Qbl4XbZR6keh+0iS0R1rXsUeuWeCecjIDK1dnez8VBRghHw+tZprr4eycndrT1+CJun4RgHAVj +0P01msh6wPJ+JfjWEiWhtOwef1ReCy9FnYD+6iu19iwkH3qSw9713ilW7FbalExAqXomupzkjjaT +YJ7AJQv5gKixCJChXuTLLEuDwEnB4CQjtp5aJeu612GdyiWFGvowJUNAJ/sBe6AY3mI5CPfc45oX +FkfW65wtgNrC8hVLX2rEDPSnKkTmk6lMB/GWzL95mWTAIFqfJYElhemDUkdvtgtAqWh/r2ZIzHPh +vc0kJRmROPNz7wo8DlikJkc/T/vyJLwWNv0LrwqGQww4jcAp0SH8NI67rC7+VGaNDhhSos8tYQfd +5pHf5x+CRfi8qk427uVZdEmheEObb/hOHNf/J5nYEJhrxnwrdkAuSzqk1S2Y/ckTOnbrubTpbfna +az8/h1dpK1ysuD9XvD+iFZM05/8viqDfje/6jc475pImPpdJLC4+03oQIaYEnALVRINWwKCRTvFZ +BToTcgoNq42rx2NRpVkxIy9UCMyAQxw+UtFO5oK0LQyAY83q+yKeULwnI4C7GZ0KuKhYWF2LLexj +SD6nlhr/XUygX48+BQt2oeNNyAwsTMWzgYLE9S6SVT5Ent2zdyBLGD+egi8/5+fOczUVk+4roZCM +cOFS8prES1m8286uhacWzDnNzDjmnA/YdkA7g+5ulCh6n0ywDecMpncsmORL/5XDg8TN75p13xPb +3bvt2d24KHyukm04VsjRVvfc4FYXCkbm6gi1Rfh1ySCp2C2dPfCTpnqpYNNUapNZrBqnupB7xt9P +HnFBuhLZfMIDqqZ1VK5Sy5w31B51h4+c9g7FQ6Z3MF80UOaegIZGKj5KPSFzAoJuWXl6q+y77AFG +LBfmCwdGFgRis+v/v1shnHFj8kpRiIkRxQ9ejUAAfcQOy3S7POQkSHaIKOIQ5s5jK5HUAxuK/xgN +81I3+cUVr9JS/sjTG/SVocHXooWP06G8GTIQmZoDFIKoOe7tsc6giHwiqVkIxSyQQmzgwi/jO7zC +vBY3OTO6wLXk0BA0FctK7TjTshko9R5a/SFwRMQXA1/0tZY7m/FSNqguTAtdcybXgyRHZoRM16hQ +z8EprP5Aod1lt8XYXA5PBbOSHwXvCMRQEzY8axbVzX8CBcNJuQJDq0R8u1Oem5odNZU7Is/yxZ4b +UL+u2iujREr5VBgUJycBzBPiR1kkuL/Ohs0y2MhVf9b8z1DrIrbnHLHDlIesIgTlaf2Ev3IGJAtS +Cbz7X8ZrzGl2WENp2ohn6mOkgTNZ9LavP9GjaGBbRA8S/xQdD2En6H2MjT6GwFJm/RRTtn5fAofc +WKg7UhyqfrjSuXJe48+xJA606oQNFRXuLUIlwNG+Wa8ZuddeLE8fVjr4tg5Z7qoAFt51g5ncedzR +/fULEzgidpCiWcwD9bGCSJBvcKeAJU5BbGLbGRl7JSsIguEddg2vM9uReVz7cAPFvec0d3LWIWkl +taWcYydiJxTpjwPMHRgo524M5jlSzeYjBLhHHVsiiNk3+SPimHED6DWzNeJtyU33twqxLAeHH19r +VfBO0YrIbJLG/JkITHtr+FUU3ihfzHtB1HPp+3n+6x6VjUMGacpUOHhMJUjRJnrj3FXHk//CryYF +wqCLSPy0qNOllPONO4amIZ4YBkgs7NQ/EEb4QnXiaFudKszuyC2IYJb2aHT3RVmk1sqIDGoNXupa +ZkKpFQd00aH1kjymSwo8oY88CQ6JjBB8NsRSB5S7cwRqfpaMHPcE6DVWwIol4dFHnprTGLkx1gGi +Tv/F3ykFTNroyBd3ba5tBrD8++ty5mJ9LsJh/nGiPpM3ESX1HUNn+NKKlDahPZ+H3bbDjmcOZBrr +wQok37mEazTA+s4UEPJi5S2OdqHF3wUMQqpqAEyn9uJS+R+G5txrvnjaFvD6T2uSro3LP98FFhzT +bPv9LRLK0HGrb/kXO/eXn6MfOo2bqGl+ZPsZR3npsiKeZnzvbAuWH7erp1SDyIik4rhjxAOvFqvO +lOmgI3shC2CThKWa57cvOGGACCuUqHgRcz9GBwuS19gYXB54PFWhZFisuj+cDEFxGS3kdvh9SfNs +D0gPeBvHDxy1rGo8JmxKjp6FbnKLZ/nL2L+I/dmAU6sCc4M1ahmx2PHzCqiOgDiVO8VEpn5DOgua +dcJlg2J8pKD9bU/6ioRKWNNdPAEwK2bGFo8pLP4dligH1hOhiS/uJUOdd/e2pE+RK9NbNwiwAW1v +8NZGuQsL2qhDsOpZrd8BcLhaz63dZKakB5TxZq1Neg0rQcmF3tVJEfClYTbIYy/Z4p2zNZoYrK8a +DokbP/sDmKWHhlGQv/dQqup4i/lkOcHSa+qSFoRMrC1mSiXVXsGsefrmrILR/jOKsBgX1jYxOvg8 +1MbPeZMaKPPEybRNuIiFfWCVxr1303wqdamdgBsVMMV2UIELMfMVAG5j4XckUBA30Cm5iIbGZ4Vj +LFm7GVpqZi+OBQ2/XhSMG3a88NV1CD9IsvpqnXfRGnpXROSikwwU3Ahempo4S6UAYvxCTYGftu88 ++QYpzLlernE+2NIAM+k0udWKFnl90s/U0NwTeclmyCHfjSUyUdwGwhIHb+iNi8rkQnoo7gGxo2bw +0RyFC4CR9e66gfHjmXLrsA9a3JqE23j6I3gsrGWBa7Rf6x9V8VLIU6cDTYgII/BNRsMM0QrE2RKe +94iHX7IKvrbHRsT2dNKeSL8X4Szo0YINlOalitvmuJ+KPDj0G4ulxHTf2FDn8KyPRgp2dMoLchx3 +zOZpiLetkS2GE9ik6Mnmb5FU9xh0E3N0Y5jjRnp0ZgWV1z/10Q9Zn2uHssQ4wPkXB4jPA62IMGZv +FWkeWpUmWGYBIjb8ShsgHMlq7x+Gadb1FyYJUkYFzS5ysG/wcnQe0u7nw7jYva4ZJwkr4C7XUmDi +aZg4M3VGeo8kRIhcFv1sQ6vNdJ2lQSTruyH90XKt2Q3VkYamWo6ISLotokil9GH1/7tYM5oPcIEb +sYBnrTXADL/aoCUFNaqFZqcnwc4XOMNDFH8KGn/SrEggA7F/F52WgE8OtIEiRxr+KPIrE2Zd1yEW +KMuiQlqiMmoqLBlpQuaTzTOtXXk1Sgsz6JzlRg0TVbT6mRKLmA3NjNZcv+5V+l/bWXgM4sR0YO6x +1K/lx5xt/tkyokuZXwKm1B+FBgy/xRdMLZSMelxprVZxKUle3jSggjiojih29zcrv4cSzhrxcK1r +bCEUFMfdYXZc24V1TJF6FbXznBJzm5QAiu/+GbiEXg2Hi4VGUqtrFj7092yY4G8oHbMaOhgDAdoE +SX5n6odPbVqysIqQsi/Bm9X8Lx2IxV67d5quVrycPyw2XzlP2qoAy9NvYbptlga7Z8AWkkjrvkuT +ziCCXtsctqnyqI1HtrGwfvDo4Bw9fdoWik6nFJRRe+V9Ps7O1Olj0NHE3FTOpdWNex4mhRoloaYR +7od7wxm/fY9caDALxi7ZRkhCNDU21zuxNwYy+ZVFNkn8aZ4CtrM2Eu3UEaNJ/kUcjg/xPGB57q7n +wsL+W1j3EANehLuZm7qzNb8yxSJrJyWxfajOMlV15f1GyFniZN1RKWbfa2BEXMVQOc1w2WjJjVjh +tFHmB4WbmM4W+eQucS8/smoEr8jM8UvnHDgbIu4yzNf7Cr8LDmjvPQ1xOs/11cFrKodNPisJ8fCp +JRaRxnTd1t0tpIwL2Rf7M2pt52QmnGpPbkmNR+JuX6TITGoz4AyDmDUvXjtATt6Z1jiYQXXpKWKn +JckyxNaXukccpK1n6lD6pptnGPSH3/93vv/RkFqR0TOXYXu+b2wJy1/Drp0i0Om3ldzDa4YYk17G +oyehXPJ07KNG8UGTsncpdYCPL8jiVx13q+YuU8zl67dwzjdJPjWoJJey5x87EAgoEbiRnpWXR18O +2Uflnxa/nuBvBWDSXLf1ZG+actlqRv+K1cgAc2Rq222hHWqWEXvbKcwbjh41TsRYKFbrfSOL+CgW +2gh+2ZE0VzDOkuwGAXolcwShVqwRemTS7ROjH0kg3SktxmWebkLYmrkVRCcLOwRNJ2rdFESfHIql +ITRH7U2wo7U5nyBB3ZnGd7zH/Wyx8G6xNv39jWhCThkCR3ZpGr8MXHwkdrrwP9NAWiVuxmJ9lh80 +btPSgkygzbsnPnvTKqEjup5AtsPyg9j0I4qbP2+gUfAlo9NlUB7zYrX2Ifd+HePGIHnP211k22aQ +pEPQR+su2uUVf4LL7WOJEbZb89Fsp9qQq6GssoyhW2rhc1uWqv2smyPOeSf/J47ThylYTKQADqJ6 +pXLzFVfs2qEcXdSb85xNeWkUhUygiTnxdIVcCa6O42PwZo8DZNbG47oYq0dk0D8L8tpWipgsomqE +IYPB2HAptTOXrrVJUyGua4Obk1PDyo/sjNIgtwrcqtB5xIKpLXZTaoT7t4FNzOcPNfEj2cqoczmG +zXdaR6aCsu5qq/YKphw+itiFRD+pu/FO8ux8ckczFPFp9V1WGDVIQwmZjutacD5EPGNZ8aTCRTNU +kcBZhTZTmQmY8PjLg856g1wE7yebgZ89s1IhYkkb6AwnJAXTeTN0SwzEr6Nkd2ilt/LtSfs0T6D8 +QGzwJLcD79NhkSRV/abmRIl2gRO3tZfvYArh/ep7/FZioR+rxFraSUDY5WqbyHbrXjxnmOmhH6cv +r1pPYJvxaRZV4Ujy8cz81CLW78aDBgJGymhq4JYp1vJVmhqiQAqQ1kTcnPsxH8Mxo6OSMy/xR6Xm +U8Lkbt89UxKMiVsbX+BDciUHfV0W+rJDAmCiNLYu+ihEpPe/s6StnFRPK1XOQaQigYxf6C/lq0t8 +VCD9X2m5UYWpUp3rKOMl/KOkByZ/os8oLcGUCi8AUvd5HHSfdyavHEfW+oQA6s6WCzmENra5mLKK +aZDTT8PBeeiJpW6BBsInRMaQhQfB6NG/g2XrapMquZdj9tUfMQRGciEEsCTNVVjUOD1MBWJSLdEa +s15YxJru6XsD+grqbiaaAmedA8TrzpFrqgS6I8A5r+D7FlBfkAc5e+AJPkcZ6wW/5xuEgYRf3Txw +WnaR5caxxHl0NdmVKoZA4ypaipU1NCnxX623eG4MHlPtk+iEn2Yjet0qxjkwStsGOVMfqACDliWX +yKrtog32oRYpyUWgGq2NNpoThlBtUS7vodnJui/EpcJpyAT/T7SdK9gB2lr3wNdOL0+3ryWju4TZ +4dTtYT5Ka+DqPUvu8PD8gkN7lGoPlpFc2JMG0h/mxlDLITiLNUNfzdneaNQ7dWvySbg5+4N8oFU2 +e0AtdbvCqX9fdKITibrshrYcTFq68RePwrTExAFCTAhpJbYNQMz+ER4tnyqofQ2lEWReD6vPMUgA +7dBT/0oZ/kVgEZWldqiC6bgu5nWxkKCnuzow+IWe5CKAu211oE8gKFLg8YZo+1CfLDQNviNx1uJN +cmY6i84uVFY/ZWRWxG5iPSTzgQ2FCdmxDypNYs2Z2jRMzMIG+PgZipvdejL5J3cSVyjEHH1q46/o +VvMo0sqOWfuQHTZBxVbZpAsxcrDhfcdDlQPNfSB1DccachW1yIuPWIu2vUsa3w6Sn0xz8GnpDdcT +/ZJ/cfB1ZTQsxkb2eVAq0CwTiomHkApuXeb5Xe6yP6YVwDDu9W7pLiySWFd9qveZ20Xfhh8meMkY +R9ETiwLSPVVYx7SzJ0FuRtRQFOzsEIwxS/5NeVa6Z0eBUbPdyOv4SvZaabAcw+hgBoqk29duPWI3 +OidzCH6QMISBkOHkK6jPWSMbJl+Us+aLjgMfr8lAFgwZxpdUM9+yq0ICiYHPE0zmowzNma7hMwia +c+n0wupqYfGwQq9Rpq66WHNvkTFPxHKKNz4lVvwMhFZ0MGHFS/4UWbj1PHR8Dt504+vt05mRXWIB +8VXo5clRya3fLBR7dLE7IdZo0XfWIpjXmjN6Kp0KzzkpGGB/mxvEc0M0oDIwcyHJmY8joJ0keqTx +DkIecFyNaneJe4/rqirGBJ5qPTkZ2nX73ICWUVMMPaq0xo4063cxGMsOM8gOuQLvHUDiqODyK//V +rTc2Q5ALaLfhDuk3et3eaS+mY2kn8S/nAdhRsnUNedbolO/RaYZZXcXv4xsHcd6IsSYibJWt2cLC +pPgVEFtxFTEQFpkddV9+9Wg1Dh62Q7XU6f80lrCsX2O2X8s0+2rzDDNSSy/xwKluaWHpdbxEPYni +Q5eY/3J0zOerj+VNSKe0OmjF/vmKX9TF+z91AiNKmrwFWYhX0VUwCzfhhApU1rxk5HxTsY9VQgFE +Q3ezBbcrVJSRKyrFyaQYFMO2qIm7hV8U/0KHAPwrIk8U2Z1osalnzc5KT2r4+sbBXrp7JMdVbbnV +Bhts6E48ADSwxReJ6lJ9hZAvPljClqdXSpyo4OHboHwZyxYHYn6+xLRoSib8GCn9dlUKmsJ41ne4 +WkYOHk0XuMKZ3EwqXReXFnhKk2epbGpvHs2GMnoDlZSgnCGtC1i6uouJDGQua+cho6/LpIideZa7 +fHu/BRBsTlGgdBIrSmKXDdf2VjKRiRFRfWC6RIWb4/VaFzVaIuZIJC8HCzEqf+7DUIAoJOfLFSgH +YX3VkumddB6PAXK/+9LDXqXDQXrfJfnq9oxDedmxtscVEkLqQYU4/ixvrJ9zGh2Emvm1OkhTCu/O +qLZ1+oEZpn3gF5+n9VxSUxfeUr8ByeRAQXT11L5Kknwww0QrqT0YVP9JUl6WPcAxIXtVZZhaElFn +tHq/ybGd7FfjKGpnL3p2kXFCEZ8c7Y7Q/wAdIkUdNTC84zRYmxaO3I5OIHUp8RpwTXMEYVDlo8wy +DSfHjhPQc0WmIUwiaGytBNKowD44JqsQUEhRSgDqEUh1CkUh0OjeU2DwKODTKLobUSkge+tHLzsh +YaRYHXLi/2TTC8TxjaQWjZSKgc0B5BhQH4y9iVDEhrYcf3+zSsa8RIns6no2tPXk+rdTESDQpxQP +hse1BUTHLvPpbtN9ckotWMG+wiPiFxHGIz0vl54LmM4BYVFgYaMODIelzGNf8NHuMEKa8ZYmTBPx +B+/Su9tVFvT15ihygmW5mm3bq8ZUFNyOXQaiiMv/u5fHakFlyH8V2ZtB/BqKcAzZLwYxBN4a0eC7 +SfmDbN9TYVRxzCkmpeDuCBQGcrhuQfLSFX0pWw1zJbNuJ44uIABRUwUSZlnavLiUOLqmbhT0tabD +9NbvSsSu5kwb2Oi9S4PDwfCxOFWQDUUluASPizi6iulmywYz5dm6ju59FAVx0jPuNN/8QDYocaSQ +Antrbw/qCsdrhdlcurEcyyu6FMUZD+dqPibdCmmJxtaKcs8mQHL6q7qr6eIS/QiezSNJaMzjGULi +t1uLUVm/jXC9quVn9kkn3wYXPQB7T2QGj/iJmwvNH7rF9zC5wKu9XsefF+2/00jXpDauyd5lNy8G +VZhls4YGkllZ7Rq6sOiak9Je0Ia6+6C8+rynxose8AiS0irsTfgCZ5zvS6YozTCkNPW9XwxOMamk +Y4m4+2hfxMuQo15aibADwnYMS9g+x/ei63DWdN+SeUpi+/ILJEgj81LnzI1WN6kCgx5+pNSlS5ny +avk5PEowsXguzfIkIgc9S18R8S2D4FQ06qQcck64oRVvGNqayr/ps6ALYboRCancRtMRAV7V4qpr +ZcCyyHLqnWbAgXbdA2wrQTgFV+hPMn1pJiioKbz6rB7taVE6i9DtjYIpoIt/fo8KmNg26Tmu4+PL +JhNxZ+ty/WZCTkH3YKt2Qu0elZy+C4mlf229QRd4Kub0ckd8hNgIS/DozjnJ4XE0o+yzXnpcArbo +32FVeZw24xOGkCBg3Z99I2NStQ3Y0l9xQPnqGlnTKXcAgBTdglbgrGZGK7Qvg4wPQwZbIi4oTJsm +LtDAb4AeFo+f08ae1wMU0vyOuqvcRfT3LJAInjktagIokRM+mHK4lwT+iO6NqYUv8cUroiwgFazA +OqlP9Gsgr3HL6VzrD1hd+aAOHRDUaG0Qy13ehT6R6HS/1qb2W9IJKaNSXlHyIWrcwCJfZl1WPXr0 +MPDj0QjBw/XAX4KxjzTX8QexyDRltwxvtMtz5RhYcl0a7+qGbrsG46TA4In+CoIYAfK0u2fVqFUO +s75Y9SHgx43P2CBbwSnJSwmremWlUGZBM9Sna2h245Y1/+gB6/Tbs7B/94NrrxUwxsQq4losLQwQ +BEYk0Yv6n+PywF5eX96ObYpfFiW+ZzXFBln2XE4KKbyriIAuZMNKdkOFS7mHXv8Trzshi9gfqCJi +axOSU7oLAp5oSjlTdhLg+LHy7I+p53/Hy0DrIcsDZv9VkX4FTA0z89oDNBpGBiv8r6c7l1vFn2LP +FhsHCsScDk6jPSPJWcVRRU4O+p/CS5E1XlqjVi1mxXxo1LczFmEi2ZGPy3ioJl6L6WtRxPQxSToh +70WwwWYC98iYwgEULjbnOkUs5AMj7rLmkWZNeWTSFqwFq30sRgrZQ2OHOFs0mv1LfUd3Y+oXp5z0 +LR1BQ2RWKUVYR4WcGIdYh0IPwaxv0VOzefjmaGpC14hSSRH6UMetV0nggYjttIB64DTa2ROQGOcD +H8cN7HWPqnZIPQLhP3hic6M1pSw+8/93WWtoJIMm8Y9HEMB9CKtsy2SBeJ8VcskA0kYAwViCv03F +QzUQ5oUNzVexcJzJzN3MR1o7Y3OzJSWdDoMDdv4gbxti3yRArMhHkhUsgHUsZWJzQZ37jh8vK9PD +njYQypT0eDxASgz207jCkxZayLmoh4HEBdLzLwXOITiiXWDFAiT8Z7unhYzqodjUh/ZTym8jjORG +miQ8rHJOYTKuwJ+woPVC3Vhx1BYdb7fQIFbu+0InSNjI/d9UzSejxvgsAFcA3QESWDUg81JLbp+L +mmA3SIz+ucMmDkl3FggiJ0pHq/PizgTuJPMj2T4Rb1sCX5QiNGjfB36tcKJGMlROsPsxghZhgy+K +CuPkI83Edxsuu58wa7RODb6ntDTZDzDnEHds+sAa3uLHJOi8eW8qvndwYchB9HXrEF9uZYPvloph +zGs2t1Fb0Yy8GXGGTJZC63xG1uwz/WirIOyp3YTkxbnkfBIDClDKNFUSMnuM0NhLqsGpIJ0nd8Xo +xbWMzWhJQYR7IwzEzVefeYzrCif2Z1MR6+cLN3UCn6KjUwIBbRKPisWeMXjEQuaKawVRPW0I1MqM +mgInzMtupsJYrxygTpdk5g/nP5dvD5xZW6BgBjm8sfOeHl5A7gzxg6yW33CnkKSA4/DG6RefW8e5 +18MT7uI0hSoyVBM/UGwBeIo9M88fDDBr46tH+PPBCOUU2kVgaRi02XyHtMTUjXSDYYJpp8D9cAjs +pXZSu8QqI0sX5deaR3PItLfTtFTUy9S6TkHDWI2OYM1Anx2seH02qSjjeGt1H1VxEC7tFSiEVL7V +OSY4Q7cYYsUO8iMW9WV2JF2lPRrhHtyho68loHuc5rykgkUSgOQl9X5x7bno+RJ9V2nYRsZv7E4e +9l8AlvgLItx+wBhyTxxkqixzdJlq5w1KpWHE3yFgeXRDPlgbUOZ0/9+KfLNCZP7h5Iz0x+tgoRpB +W6CE6FYt5wVYnqabQmCrPnfIH7KqkhZE9k0yzcktUJXnKjfjQPd960MKNHLC6QVUiQvwh4Jlpgnc +MAdOUjxI5PEO7m2HuLRXmvazF/eBfi3yqxufqTG0t3VjB+nzRIP0rJbvlAEcMsByRz+TiCdn9RcY +cg+dlhvjC8mWlOOMGY1OOzAZuGL34BWtu/Bcb+SjW+H0LaKlon6+Ueq2VTeiMzsdc69KlVy1cedF +MTnMcWZnHNmU1VTKV5DpVpKdPrrIKH/ImKKSh5ZMRzHNQekC6z7sV5q5pc0Io6Wd8/G0ZLRVUZUE +BZWk7kbXpE6IVgyaPTlccsdHoNUDWyqGIjLdLvJzRmZAwxIdvsm9CJUwto8zwO0pMrskwbYi7Lmh +BhFdN/WL9otl5nVKPIVUXBybU+pEyD0ks5yDe0F/34U1Ex8kos0mU8e29SJ3XtJC5hlqmkngz0z0 +zbsYaHaQ5z9r35clHE16Lhie+DMMfrsbSPC3mV1bYjw2lNlQIcnM7Jp4EC4decgt4ixc+J0GOSUJ +Pz/Pu/kNkVSAAX+d/nlWibiC1Dv5U5U+xQqHPt2mGibu+KiaWxkVGFXrkrCZsKNorgFna7LkJOBC +zvSq3rwCEPdGwtox/PBCUzLK2do3XzOo0R7Hjr2UflFKzp2KwfFTNR4NGqoYb5pQ7zs+P4F4Oa1f +JqHWmXfX0Q6ANN9a7lZyUoZ1HX08CN+xfz7QGMNUOT0Obx1C0o7Z2gv/9a4akI2tRW5+bGn94UUE +fYhu+S1JYjeFmJ/F1gP5k0ihwuuf2B0nz8UCO1FA9QuL/CrFN0N/82poMFptD6qVH+gIi998J0gH +yu/JR4p4mwFOt7Rc+Q2b7o+PFqiGeg0GZUmQ0dSs0l5+mHZI9zrxKRmgOgWodQ4UsjGqfttlHvaL +u/k7YX3VQHx0laYJQWNmAnZ0qtqW9MA3VQ26tloiNJpoRVg4iJkE+wLv+nNhX0MIUprLZVkcQpN+ +mUfDnPV7ikEdRi2Duj7DZISuTHAh2jf1e0Q+8mgy1JTdrW5+XBM/TUQas46iPafkIJuUq3KVxWot +GEOHbSsUtffHwIurpfyJyrsz4ECrJIpUZj0N9a+LOK7D73U6ectmoSUIgW4HA9rBSN1bOmSndflU +KDNhSEjnyfQqnt/ldIn/PJe2ksuIDWiEz+PtsdG3gSoNhXSUC+US2mqvIx+cJB1deNW/jGKn6tiY +2le7E01wOocHx5pqPRG2V98cq8tsXZUsGzK3Xi/wXAFIRnjgr7sfpykQwaTvejMMTzJP692h62vw +xaGaxOGpCqzTjFLp/DmNC3/Geq5lVhU/I0mwx9AZ8EEFXcXfBNEhSwL+hyAIDb1mNAmdXIFVdQNY +ARvZq0eKy4L7OhuX3iA8sgWoidglxrfbxYZCyLrjSTM/draLIjwDHlcL0SP1zokTNa2BI6MNx40A +gkDiKMQIZTy5DCf+hm+Kv+su65oNrIITCy2DK0CCFTETbQ+QS8YV1YcN8/XOHF4ijjE5k1xU7m3d +ailLWoUp9fI49oCHsDzZg7XpDlPT40EIBFSarKXfVt+phCKpOuyBk/q1VhoOfix9FXnfKu+6JNwO +RSHouEA58F98hkTJMTnVn56JrQfr1VE/ZQhJdwedi5dFzYUlny3/MZDwbCDQDPFPh9DYL6MckSRB +fJxUqq1D7MtavleI49cjX1ZKitE0u+R35mhnAkBCLJGlqG4HLuje8xyPSAbp6dCG6W5qSX2B1XRM +9QPNv5MzVKDg2PsxsIvrzrd8tN8shRFLEMnj2g1KVLXte/+AD3Dc6xTTpLeMaC85u2iQFpCc9U1o +B6v+JMr0r/7GZ9201lQ1gjKUa4UhbL8/GGIZL0vA1kF482+qbJbBt4tEtJLcsdpGyZbgCLP/+iFT +GmUeU6cLDSrWphQOKh7BdwVX2I0HAYToRSD4mHxROnTINZd2FysLxGSbh334X6NiDD7TZxGqu4au +O9nzEXxTZvVDTkxhBFmQPFbllXcrzYvRMdD1c6qV/L3HpO9oavdScm5d+Ln19OjMASWShvxS6Vv+ +8LG2cg+QBRf5B2httf9vxARWbniGLcwapKvTy6Ad08aYvfagueNUP1S3rWS9zMlhGy310MeL8APT +7B79kOLBSzMyB+CZ89j07Sjo0mnpEsCtW5JOlDaVd1MJvvosk0MV6AwJEvYg3B6TaBBE9AEX2lY8 +sJw8lzSL/gfqwiBNYrrCydC3qw3Ri8t1cnoSC53ASe5+59XMw46VtHxTLB/rtWszBLSCbI5HAYwj +SU/qWIyD8VJxIiExQ3hq37+LM0ih4XThH52gVG1MRRXy2sJaZCNvbnN8azRJfmev70kiq1qGxTp5 +u8Rv+YPyfFpYzGbEftVjOZCgeIALDfLr6uJutT/O/NsHfZMGyyCmzR+/jkZs/X4bWwGDPI3O95L9 +8ANWLiv6D1RI7Wt50W0Q+yI4WSEdFip12hVlsUs1jpkf4nyq/QgneOZcjAPIPAI+2sZPuj7eBkKc +Dldj07/aFaCggsh018+wsd3eLrgXodPhpusAbjfpIeNWfBseGT/WIVOA6rUaSflPmAwN1c2gZV3d +FgAtccsYEiBehhWeyRqGGhe6FZ8fBikkGe4nuAWseMd/XIVnBwpdupH5BxJJMG0a7c/aDCeXu6K6 +BKTRLSTt1bCWQZaRvlW+XX+suxYsvCcpghgJLjqj94YdW4HEiPFnAzYqxoWia3TcIEfz8DxckdrB +ezN6IbHyfLvFlEJv3cSlq+bvfszsAmLVE6s1wD0hoa8l/pVMBUGgnpBlBuzpMQ05bzTG9+vBb1RS +kgytEjLjwTXj2kNECFChsTArgrCArq75lwrLYEUH8OuJ7mEZSdXid1KrRFQ4Bi3ExtO2Gexnra0E +sij9oUYytu5qhT12Y0827slRlNgKpAtHGh3l+jsx1QlXGVmQo92nVV6yu1An3WIFo9C8DovhC/Hd +7fRNND7I8pu3MjLKtIkdSR+sr8dvS281LmX8OwuedZyDkeDAXhJ7UZGgvAgB13SQmifU5jS/ruIQ +UUFjyCYdPQcBAeg0GJmCJv2FiUwvubZLxlF0A/J01vzjFaEkFLDjMlxqkw2PLpi0/20dZa0Sr6a9 +TcA6HUVQ9LgaeePGmM1s66bs+QqPsSkw90FCNSQvNXkiVQjkhso4r3ZpEwvSH6dXnKjl8VvEu4em +MYzOzjEY3tAafJovlxkzgXH7MCxC5QUkuGpTaDh0tmHGZGI7i+ZrPLuHYV9V8e8EFudmhhpxuWl+ +r1FgF/iKo5K71ZtOq2eVIodV2mYWUK+u6TpuOi1+OegWZlZWP3/nVvdMeJ61gehRwygbFdGvTZeB +OSNwjzK0ogGwZ67rLYBuGlGkIK53CCQeTSdpnEJlKpXSVB0pDxx2110umoCo2/Y+JOvMGKWbnTYW +4ubtu+KxZXTj64wo0zE7jzHQdQsKqKI781gBzu0jYDqbJd6wxDWGu205P3h/RB6CD6o6EiTeX4cn +mFz5PfCaiFzb79V93+Vp3Ou+C9KI7Iu13FQdgcyi1r9/u3iiCcuoFPvjc+2CFOzsJHXPHV3iP7K3 +szzcxRepKCeLRJPj5ZjweU0aiCjGZ+gdfWJ/K15IpcPSAMiZM2e+KVqg0508OHiTIBK1/6ZTerKF +wMDbk01Ug3swytYiNhZnJiKjdm4tGBBwDTS4xjvkgVWn+dv5ZBiXgxdXugj0hD1uRGjQ3kw5dw1y +obmN0aSm03KgWdKDGuQ79Yu8dIzspDPSw0tzmlLj1lSh8dh5td+YctvA7JbOmNzpMt2UwUrtBgBf +qQ7WGULmpoyzNS4aMTJJ8f5YIyhCuUQt0HA+1ilmmkIeYrqHdAA5WP1F/89omyvg6x2YkrmNugum +9WhBQR7CQ4mPmxj3TPnU8+B6R/sZy4K7/vSFb4tHZeMWlHSZUlwygBP6/xRoVCp1XIiGFAvWuV5V +yot2AwZPWiLSfVgDjOuO9j5C+fm5qEzMP3Dx7vmL7YLeMBsqaXRmbIdikJUI86qwD4xSgKwiQRNo +A3oxWNpF6pje/1MxlSifMIH/EP+IlTcCt5ka0AT7ESMoJXghuZEAHpfow1GwwXxJSpppPIvse1HI +2yspMZCdpqAMeyLznxD60ZKRuVnQjYY8KuNvkyDwzVN152lONQzllK9d3Y3eBYyt0w9hrmL6tNY6 +mU3K4cid9iLa2uQlqj8f4OHoRgrdBYXkTJRNEozZg0J50+oxbJVtzzg3/Ie5A4rQxpL/GN0OZT/y +ZzIpASATEPdUursXbBYBFb0bNJ50SdpuiCEN7irtTORNtyW7oEUrrU5k/K8jnYiXQ1/4sn0yvjVo +9dhXCL8EGH2Yl1nWo/P2heS3Kjlccf4Uts7exyNoFi8IySFgV7jXScnFZYYNMrbGJwRkzfQ0qDM/ +/tYj6FLDBj1UxfxPQCH2Th4wEbum0Ojm8dtRH9goujTxRwZxVlmkbyG56rMCPtlUFpq4wImI4NbP +ng6YVDBUAGvNiKnLiCwPN+TFwJejidXC0xZ1lhZP7Tqt03ztZUq2VcdgNQozOjdHQ+sf8jiZ4h2s +8cFiqOsvg8swWBhAt3+Pwbs6++reXFrxR3URkQREnAi60ehAf2xK0wRqiCGAqJgLjCmi4KM1o/34 +GMwhcO1ZKkY6MDl7rSYe8lypRRFjhk+lb4emKIfbYaOE3R49Vce/v15V42ag/1DcTfsiGkWFTdTz +0XdHsQ6slwrz1qR5pdRmmDYS4S9S7mkH9Z176z71TMO2Gu8EXDkTIPZ7J99naiwXiSJ2EOw6wE01 +40mWuvaUjPZhvG/NnBuruE6+YmrreuzbEja64kh3tCcdPWvwb6oAFmRA1jNG/A4i7xoeu+jnlK1t +bdWsZpbNabQODB6f0QWux5vajr3ms2u+kLsfvE//pQ7NXoDY2pijGi5A4aScqN6EW0G4fOgNZEQr +/tLwBwd9DKtgLVfBdwAijgLHWdGODdO73cNum629QjWYbrH4LU4tOAiEadXscEUy7Uq+LudbIHYC +uNBwRmlQETMygEaF/7pmdrCVPoCe/wF/AUBlzwIzt3L2zS0IbxPvjgAs3ixq8BXrc9LgfxrEJsc9 +8z7/OKPn3MFvpoOwWSOeqv1Kfym9cEyrF/s2uCEvFOurSbHrhtDrqEE1gE5H0rXHPUc2+Gn0EKdt +Op5l/AE9oEJWRDqdst9ompd63QZHr6m93BNaj2u5KIc7fhr0Jo5dEWb1NoXRyI3GusCpOgB3V4UL +XSdgCzX5SWtRL2Nh2x7VATYB7dkEBHRir3N9bmlXv51Nx7oPLv2jbLAAjwvgt8gwRf+vheAqVCPa +qsojriOlOSHMxbppCy7brnBE7lDIpJygG14LLuXDvn6u6Ym/Y2cphdAFHHS2J8D8WZIqsguSBMjP +xf2S/UzX7DmZ6zDLg95lasvgQSx8a2uhYS64UbylV3cMt3w0PL5+VEZBPfJFSck0fSuj39cjOlRh +ydZJLI5o4fXGm57URzhWMN9D5B8f8YGMSmHrPB/dnusnENHeZxKyrI3qtIyMwuwtXqzlIaeVNArE +BPrXEG8OKioWNXv3k+fMbi/Ka38qIyN12VSpVcQKTzpnpQ0q5TwO1SaEB6EZPZ57Ikr0Gipju5bj +C/yYYhzhJLMMqVgL+GGtPX/poo9rPsrFUY5uygdmrE5zNc/0OpZl3MDFv03gjm7Td4dFNWYjLClq +yxe4FLjK9dsNqTVOa+ThgTjwG2qwGnSGWulL2wpkgQIvKsUQ/0zktlZfDg5FLGyeKj6WXy2ccRLQ +nwxF+HBRqYByqoXzNiHqXkk3QZoSYnTCYFQ1fDtCtFKBlOF5tcm2P9XRDlXpj1Y+OzYIRNO891NN +X8oGzQgdap266MXqsDtD2Tz1sO3Dy4NCcAgqdsEicVSgw3JkwlkPCxwmXfbkKVHcVo6FwSzDG+3X +ZmiGgK6iqM4/l3uIZ8EvINLhTtszfKhYBWTnY0SKMcNVSxd46DjVZYltUa/RPB9ZuA08lA4as+DY +A7dk17iJ0NzqsOTjYgEy/yh/KgQJpojibEjZhor20zaKRACCgvcRA0FF6cE6WEGEndukJwlLPWyE +7V1gpTgGuBg0ntbg5LAS34+nb9/P3vbhQSHWJoPttjg1a/udhwJOrR8c3ndf8IF6NpLhPNS9CTsb +hTuIqTepuMBybEnQ8iZtNcV5j8xnxfJYyVHCddi21WaifCn+9bnaWINtK3sdl60NlSqJvB+f3FGg +bw9M17ezdGec+ihWhd+IRmFkiVlQcPEjSDyTKr75VBRa1ajmo6BApBiYPqXPIFoFGc67xwqbox4V +zuOOtmw9+02Yj9ipODYj6XkcoNk0mzcpanPXr9bzawN+EqjCI7FV5Zzt/Pu+aSWC0hGFbBdeTkNS +uI8uIufqsSlp4a/AI/GH5zdXY4KGUkrNhxwO6wOr9KliEhKKlEuTcBG5aPzTWcAmsloIfdv1LP4J +oxqlNHhOlfXdn8D1PABVgdQz0PLw0cZHg32K5fgnryh4gfYdLP+bCGVmoN/C+cd/v3fAWPe2zFN5 +NsctolGkR5DlZnwcVPyTbKoudzvF0Y9QNZUcYuKBQvIIH8KG7Tvb1BO38P4E3oRgluuAPuLauGjz +ZMf6+A1vdDXFPkQRZp93aRCY6g7kPHXLI6KmAdfaHi/AkkNCIEthdVJ8Lm7TAFmlqgTqw+08B6Ex +dq41F9OYpbvuOCE/+BUM4qEmvTsE3gDDesp6RizwA798pbfYLUDGlBpRDl1hmm/sJID80+/zN2pW ++0BpArA4D4qPwsaplk6fpmkCT+Ek1S7S5E4hG1kFeyIM8avabkFo5TVfxxbLHH594JxrQ+UctAz0 +pBtQB+DyZlGeWix/VbyN/ASjbbMjAP7uozDs006DNVVsIkDGoKr/EDN7JYkG+lxMW4PK9pwxyend +WgNLhXUCUCDSrTIZ0Jy8dLKwLaHUIaAqJHa9qv9FeCKJHOK9e0PHoBWrramDUv/IPcioNdLHhWVs +QxLq7zRt6sFT0hF+N31EikZG6AtbXTUnlD5otFjQTJN1WyRJ9qMMZV+ocUwsSiAaDy4daHwUdTIR +Yd7EC0B69aFd21LVuXPc0gbR6A3TjOiKtL0ixMeOkmFZJ75rJBLok6fe/oe7Jc2S3v3FXsraOLZE +AO5pTp7PsEMq39xbi7+TvtY1z/SpQFMMUXz/yMmETYbh+GVvs8ZxCE0JlAmLTCkX1kCHUqQt2YGB +RI+lMFVZ5WqTRxatdkqtxTnjBJEyrEX/sNrIJ7gkIx7zvzenzwI1OBBxgEgu5OVYDlknd+BF3gzf +Fzf8n7df3ZGNWSboM0qjm4awIP52iDzNhzwDZMAJfkY0e0jwqDIP25o2z/z4wPAmG7Hvu9OxhG5Y +ahVuFi2W8UkTojhJ81PPMYSGpwCT8nB6lFzij+Glw/Nv42jYe7uljitDgv2Y9nRpyi/qn02V/pr1 +Qt1xdO0Eu8DOtjpYYh8R3xztQkq5LYVxNnDUXKmaMFFK3tE73c/2kgVOG2TG8PKgLl12iLZDJ3c5 +fzi8R37BjRqmn0SwG5YvBjy6AvGkxTtU2woTvnYhwvbvDAYqDJC62wTLnNJ79Z1YXij7MlZ+rdWA +Tp4BJ63mzw+UK+gQZSaZNgn2KS2KCRzn8ksXmvzane3c1gcXHGZo89RO/Wo5CO6+UiDXDOuqyO9X +abBdejK/DGzTmXtGcKysSSIaGdMZqShgvVjPKBo/u7+5jc5ySQg+ZArVaeFA0/4PePzSVZEnCKwt +lqvXd4HBsVyJjTlhsg1lZvNBHodFGdr1ZorOt6WUjNmfZQ2iSTklds1Z1YASVhQZiDiX2hDP5ffN +428LFB1rEX42Su8IKTNcW4gWs6WDUATtea5WBtbJ9XKTJkARFVWMw2nkZKztQgMMOKQk7bRr9nGy +MBLHqUDWBtk66iGKZ4CI2XdXwGlzvJlQy9GX848yxN8471mXK4gAvwTQ28Tn45wDJJxhOaRu35pB +LG2I7Y0Tz7xASIeJpHxR1HCyHUK4eq5NSDMbm9v6pttD8QxI1Jv7P5PeCO9cs7G4SpK8JwUAON6G +XiPzfu39logaQOdgeyN3uO3abEmx/gLF7VRfVE8AMNB/yekU1tmF6CM/LLi3JEekbsSLBtz7+3+i +qByAMk8mWPaUchqK0uqCRZhXjlKxUO2vBERKwMrXaGNJtlyiLYk9lGL3nRs+O+DK7+cemBeGCJRn +Cm6oFVDZeXkSlOLQSArcS7u2b3xADtXlE+PP0eNxo8CPBbRvbOBW54SyaG5w1IHY1dUO2Ds9qH55 +TBCXzXYtWlBTep6265z3kikspNGJTDhH+Wdq8i0MaJ3MKnlW4CjFlH/Squ/pmSqStrL8I3I2j11v +PR3u3WBDDo4EA3CBVYO/Q8iOf4Tyzi30fXYQMWzaf3GMF/hRKqIrDHeQq+lzSk0dGmZwUSfqMGqm +NylDPjdIPRQQg+8ahYppXoc66n+NjjCEKsdBnq3FKXiZLtC2jXR+/LkWG8zGD5+mf22hNjIvI1O4 +hd6MOQw04PeknUrhRUfA6XqO1VkwhCm54PBUzMmyqQONO4NclVQ1b/IvecfCNWalkNbBLK/ygwwS +Uk9dEF07CFdaN5cOsJfQ+5scnvXwlZCB6xoG3eHEBOMt7BWpsON6aN9nmVY2q/F9oZyIa8F97lCu +OUNBZReLBdSHuCT2KKQrmmOCK2c77VWHGt1fIRWqFCJy/8d9hRt+FskRDXTTMGTpipB4g525hGbX +Ir3LpRareJLrrvLf6vg+xfTwZdxpmx4q+y9E7dUrKFeZLOiYCm3cc+geKDBb78kwAJrCy2bL2HZI +ALphOfPUMeEIIRuggrPWAm+DNSSyWBi/YWg4epuC51Qq4c2yxNcfhEHrZUrr9kYjov10eBBN/atR +r11IEUmMVJIupu+Qrao38v76MdDZyrhosuBIaEN1PiQIbEx1w2x1I7xuvG3K7ZbRzeHIpFsLDp6C +9OJSQZUi2NfrCE+Zz8ltfJQ3XH7BGPd2xbwrIC+zpRyWqhKnElagfpL7UHz/1WjElyIxULN0HDaW +V7YFK9Rsy3rSIZbTZMwNOd9mcEIMvSRfj7WT96KfsLVa7dsd9IJ9L8sfJjT0/MnEqh5OjXbkqKG5 +G2B1l42Dr4hBcs/DIvBLi/GH/pMV81NfSH54HlriDqy6/2fTvbZ1rpktmjX2/3QQhpQIh0DKSbHN +CD7vEf/CxN9N0jtVIbWbtneCFGJWu+E8Txzxq2zl9jyuUA6siPcTfHIqLrjOTAZA48nPetD7sHTc +W9DXPB6z9o45ksPpUHbLi/Ghx1BNjYDu1J4ZEbJKxSEXLm5PZdyr4Lxo/smysII2SLz0WugAwNAA +kxK+9DNtTROJ/udbJGjkWS0nKG74EqCoo8ueOBAtGX035GhuDBCIl+SOZtQbhOML1X6zc6iBMJWK +atN0w5dEIg/q+cGjTMGNygPrkq5n1XALjwqAoyg2sn299opeHyXiGkB5ALhRJiMIoMjj6vRNbgFw +1EHPRRvnROq4CWzD0E3uGzONFYgduzMrsEzyNvpBtL+IWlDSJ+MB6FN4L2nlpDTl3uBJSz+raKJQ +KglxPmT6IMddl/dZ5nmSF54QkDsnwfYwmqfJlliV3DUo8L7jbRGVknUKo9UBGZ+VbtNpD70Vrlov +HMRKVESW6Dh28zjrTbS4+pvyhi9GU+K5OJoEBTtwW0Wc4OaazTeydSPY8Xcs3m7Rcv3kEoJWl5rh +F3f08Zhvfvo5B2W7IGhdKOVxRQaKbC3FJdDZTI2SvoSVZOBB5VpNWf0Ti96bI4HQZFfpQw3UToS4 +l1J7durdlAGPhA11QP+LNkR9k/eCDjUJBclNL20b1EQSFWmh3CFAQTTKXP7dfS/F74foC+2VUSY/ +nHfX5byGEFzmfQfLK29rr4emoVy6w34X5W89uPYikfGupgpvBmO/0LT4dGfCjhWWc01aUSvvpHDK +SYzwsJh9ESaz8d9WVWSWkdso0ORh+2wzXcJHA0GmbkYJtytd/a3pKdOy8oxdKuSGXv6zcyPv25WJ +GTr+TlkcGSF/yXifyclkbNO5sz4kRXPCmVxMdnG9WZEnI0/mXDY5rNkLouSVq/xQY6s8fhEMbKsI +RZMf46l8Uy5niNvli8kGDLTAsvcpaMLj25niCDzP2yqjOYkCvbMU85yQycntpbPWE4YUy3y8Ucr1 +4o+5bMfg+mcfTAgP72K529dnC5iG6ua/yOE6ek+9OFUuT17F4b7z5n9blYNCHlg16hWMRS/dhKFV +XqOqIlluiYlJDAw+9tdjfKYnEdLiDhqQqiYcNSR5BiFmCDyV99baQNQ+Dgbh+NSaCCpLaITvfcen +clkO192zI50aIFACMYRf9bwgpH8PMhKF6iNLQyonCuv2Zowwh+YDUu5sjf7PRixWlLYpdqlpJmiC +8omLNu+oyIPvkhRYTnYEF48mkyambZnOV2Rm8TDk96jGha6y7YdBqpbjoQVnNWCcqP+oB/9P3WhS +2k5FW25tqFNJOYln7BlDjKZTDQ2rG7NmxRBhV9dbYmqTC1YootFh9Flai5IJoZGuyiQoPXWgYYpi +aLHnjixvariipx5Gr1QKGfLOpM5jI18UX/2PZS2ShXhtCszFurW3qHXROu6MADatkKPocDWkHtL2 +kdEWYpHxkJtNG9VuGLoZtV/hC41phCkyfXpmL+xEolkehFzwWsILLuufeZzcXWMa9u7SV7lfJrYL +Wv4v/4TD/vlGuldHrra8n/AHeyHqtr2JUFhkeQPJWDcIlMfeBAh+51qbaXUoOiZlCt22k1j63QEM +I/ZUUb3wMuAWJz2eZj9A6+DidZdx3GGIU41ghDuZ6vqYNcC85YoiXygpAQK6Hf/KHQFTu5Phj3tZ +8pHF3nJbfBHDxgaqO9W1N9qGwrxntm+pHjsRtNKZK4m/23/+urhAdKL+a6IgtWfoj1Ost30xRpmP +mfsnQjz0cwl2QQUfG6vZn1O31tfHv0aaUwUROY0EILjg3XcstbodJ9fGvpCrbOVtMSNNWvo5TT2V +kZ0TAuAF6HppBXuXgHTsHvVgUvhHyBSQJusjH49d01g2citnyAj9LVgLOS3CzmrpuIYfc1X99hs5 +dHCMFdPLHuptHXrc1Eib7PY3Trg8k6VRYEKi9MtQXcjuTQtsrENeTn0LA/IxyZXH07rpb7s/ER0q +E1sIg5ETy7ypVcVT4Z7X45uniBeQjrUsFvSDr4aBsFPYTwN4TJAgEe+g5tOscRdDyFDd8qt1zN8L +GzFz24w1J4lmUVmdxJuF2KDUjnc+42UG4968n0OMaytPRojwmdjLv6jY51AnBuhFT5uyXrJmjFAr +BG3mO3GlIHx+qY5XKtLu8ZkU/npnX3RStzVF9mpNXV1fUDYyFUSn7XaHfOpASJifUfVQdmdVO0aY +rzB3Ou3tcWBjAcrptbad2qJ0n3Wl+wa4zg3vnYmeoGk6y324qDIxRrG95n1rltPFBPlvN8zSKqUU +3u9zHxb84PlVygNSYO9VIbDHKAp9912K7/X2dxmdGImOwrOjafTPIUIuOrxxvWycGLCdNPFvmGVp +u+24RIDCiP9N8xKACAOgAZkTDv/1ywEzsdBuOnkanOm7HppEzSU5sj2pcYU4f8bRLvdh++7wo1cT +SBGHsNWNZkDEcudttcy4iIcWkuZZ7dS5DUYo9kRjeQdofW+EOQmUkN/sauP11ck2gjj8HSGVhf7c +pRhZ1Aw7HlAXGO6wL7TGHL1zqrdjf1sYtyXTc/Sh7olbf39uDomfUB18NHoOmbxiShbYYIeveisw +XkmpkHoJX8e2iiGOt/rEczsGns7R3cSG35nyp+J4XacQ6u0PI1ns/IslEibB3Dua35nJT+0EMu3V +bNxqMgWWzbKNJ8pr6PukcTSP/40FtUGMjdBTooAXnX8HOY0OX2votA8aVDmBVONeX2jgdd4Ykw1S +0v/adRQsCuogqCrQgaM+WX+90FrRY8FtaRg/hOxqYEysFgJKVsMsZDAnUZHCnJRibMs/q9BSboNX +sPpTbJW7TKf7D41fYp6yW5x82rhHQPiEuvAOxo6rKa+4IDR6h2AZGt2Ur3ijR0C/a9P4U+KOsIK4 +rHKT7cfIkz4mkImbOgN4LE5zWUf5lnfE50WwtvgxnZd+yIcfMjy0OEjjw86pByQ/ladYJllCkxr3 +BH153NEnVyH+r+nKSQjfznGP/bU6AC0jkvZp/VhxL0QCcsWkBqmcAY3bLl8HqJpFbbakfnvDzcqe +sKujX66dXi3OFGAZry7mNErtFkUr6s8MSoCji3SEafcdOejPz2VGqYDbYIxiGpALNOjDbWWXNYwn +ghn4kVZN4TNKWeDeGfIu3ucA/sR/DhBpKiqyMUKLZhbmljlKqNxjvDyIRqnwn1WJf3BB2IWOzYqW +S6jWXCUxZjXRAEd8CLJnfn6c7H1lXEdGar8pCoZkPdQ0JAv9PLy2yVXGcyzH4ivtXr2vSKqdKPpf +nPMOrQTPg/jrTqJO6swKaOIUnurBY61pC1URaGIRXnNuVPPPyuJAMyloGCPZL4YkdEb6Cz9ccdN4 +HWG9WQdYdw4nXt9E/PdZS8HNHDeqbLc8Qc+bWdQCVP2oipJZ8aY8MVgz4m2cQzMT8t+ACM48OejX +WjQAREeqSAY50TFOdJD5mAwGJhrBIFCMR+/0RKBO9jPvHisdULqEi6GyA2YjLGVuyO8M/fYQohjn +CKhkb/4H8tlEzKw97UGdPb0eDak3IXGqjnhxZBqsfkucYNnrwc3ztUu07+UjBDiii7qP/6J02kwR +K2K5tfiZ+90UfbcOSzfAVi3ROcJ613a+dwQeagt5toQiNr1wIRvynvFW2eUHjb1NrzWVP/O6UAvC +ls5SGdbjZyIawEr3Kes8bnfHxxmBKEMaYDtxoAAV5ErjMjo7Pv/vJ+94YF7XerD9h8Rmfs9z01AZ +7YiPixuMYH8/8k/v1vvYaFjMBylj2TLUZRoVSlK9w1Y0EwtdQjltXsToXnIjPCVSDsNpxqdC6Gx5 +fjwjwNiCn95+W8lM4EC5N7WrMKxJzZzSTh8p9TP+dGB8uLXIhGvOb4RguMwwWKwAq3EbUcGqQ0p7 +DeqGt5F11U7Mz+bG0UxQQJ3Np4nFoIpXiJOudl6so4x+Km9HX9d9JdSUdLIFXZNBGG0JZjUepZpQ +u1TZYCkohisjB6pyrGYCRZqxXy15bc1x1jxGFdLIsGqB7CSHAtRi6aSNakVBY0HWglA3pm4FmaCy +w2ZFP3ij72JE9UdvYS1LTv8UGm17aisP9kg13GlKMjn1aGEMTVA4/liXbvVuYO/PrNTrNrFO/R8X +WR5wePGvmWXBGnYhBsD1qPYrY38gMYp4Wp0yjXFDPyXdb2gAaVpz+UNUJmysknL8E6+upbwe+9Tp +L3ahdNl+OgNqsTPQBTEnybKBLCarUizqmaohnZ9RSpG8BPDBy/pzhYjOugJyBO91wkZvYiJ0FzuH +bDLt9v5t/BEmY5bo9ks7hCKNyMheCZvvd/n16y4ARDcck4iuri+CAgj/520aNa4qESt61aPOtdaE +cTM6PWZ/MUYU51+mPrcixNZzvnwEynprZDg9WFKf7Pt9uMYMtn9ywx3kuhvPutb1ift1Mcust+et +4OsmltQExZQfQls+c1C9Zz8CPvYhnVzOUgQG7H+SisH2IVtqO4/lzlck7WumUzwRiuCIqqDotAeA +Y+fg4Y+GCtiDiNWB9l77B8LABvz9ffj0Gpk5+Emhu50JnLB39veQcd8P7qyjsQKgZBrl/oUq9GeD +GZRBpRbWUp7rDFkt48kAiuUXEmOpsraCgLDJK59kUEt7maVd+IqIRjRXNGsTRrO9A+K4u3oerHto +qI1jxyQfgG5YMGxf1fH+DPyOaRirDCVFcjUbAn/Qotv33/CMeHR5L6fKK69GWcEIG4SKRjGvYiWr +VuSyeqhGm4FzEZjq/D56K5NwUo/0yucXOGz5jLzvh1YBLHIDg0+qQKOTGBq6Ft5JPH+vVWrqMN5k +vPt1QvBVutIu/IhL2I99z+D3HZfhvVVD3fopf8KcP2DWlxwzBejhAamXh0t1dTWfLS+6qOibq7bZ +sgoYmRV+8nkbIY5CT8HRENaoYiAgEZSGEXjrHp5DgK20LpURQ9san8lS5x63J2/KcPhukB8UhOFQ +u77S6sKXxutXX0Zi0SZDLaZzfzlz1s3/gCEJ6v7biXsM5MO28oYitG/d488X7sk4lKZbWN76cm/U +p+EHLh06sPYq/e2nijZR0VvBB2Qod/QcE2H8Ql6D5ADgaOH+JnhKgwQNvM6/2TyGKLEW9xddaZrD ++i1Eij7g0tDHhJyPo4sgloHNkHDHq8Qk2ZofbCBmA7jQUbxVCXvoR2/q339GEted4+owD/cl56J8 +60blHlBcyGPTvSnhi0pmFkzCZ9HKFLyAlGJSqYkCR1rsmletCiNxiPDSap1s/sHwa37OOcZUgI7q +5wWO3JZXpbM27N/rY96nhUuMNNMtT1jHOt4wmGScf5JWaOEscMT7JkXFSj9uNNyenKUNc1CvsWk5 ++Wn2HGc4OdhLAO6Y6oNdN0ygYzY4rhzS4ar4cWJkCIG+TQMPghpM3u15qFEnp5DyfESUOe0dlnfi ++/IlD11ZuzcVJvFhypyfu469diuzjGAfOwye8XKbSsKuESmgYNFXz2iUw8Pd0/isKz06OCmBOYuA +szyUISzb9KEU9yqIoO9Xtb1Q63LPrI5cDz4Ob2IBio39uWV69nlOPXSuH/Y7CvU0h11J+Mx7LZVM +udKaOHgBLgGj9mKoMoPQ1L8yNGKEYJMKemXXQV+EYk4c35h5AY6Id6hbnCJw0IT03POfubl3KPUt +ztoyTDZ9UKs5XkTj6kLRSDnsZYV6ZinyhQZoZNQKVJrciYsTWclfvSl5unYwpLOisF3mrA65amos +mIRRO7VN1HMfrpzsZy6HuNJgpcTWEdiC0/+j33zSupsfJLKyAOBpRrIkeT+4FkMhcMbSEaItNs4s +rWPVHFChodFzT9SfreiYPPzsSBCKCmfRAR9HWNk/yBIMnz53oQXYCj1Mh+wagdU2TVGL7Z9NDDFo +6MTitxkfeQ26WwD01PNMxuIh/TXZFfJ8fuCp4fjyZMEmWGZ8Q0GRGI+94rYbioVWdT7jDHmCTw8H +xEYGAg4S43KQS/INmwvsrVRrUF412jZuQoFkejIjG/mIDNKj+V48DDoq8U9PH7Z6k/szMAkz7Jcq +INxzlehS/DtAH5IoczRD4luF0SJP9qNPy7DLhIKElM89GgSp7IecqEiF4rs91szG+QA6ICx/nECO +74j5PSlkedYj2UzCpqODe9qTxvKds3ySVLcMwGPH4D5OxBxVlDM6o36e+KDbF74ifQtPDpRh8g+d +WVXWaCE8FGiq8d0eJsrZYuY8fLKsB9E6wD2oZ/rc7Fojm38bNzQMeDs0zaTn0PCCSL1LxA7U85+f +1TZIM5RYcqB/qNo/+JMmMVp+nhK8zg+ButXzOKPmFvCcRGK1KXX0u1AeslvwV7ekCyF7dFckL2gh +yPdOdpGyoVqFgcRP8YXBmU9EtYWaSf7+UhvZZhgVJn9NC+5rB7IqtADOLvk4cH2M1WSV+l9WWIcV +iPvYa4MAIxPQO+K1m+3pXr6uo0jR2sya1B/s1cT/3MZTtzttYmx5bkH3x+u4fVQ21H7FOkHMxy1Z +9bkelmbAvyMxWpiuTscoKnuV+dyUlRK0ZQYO8a7B4vUdFyv5fDiueipSaCpy+bf+Qf3W0AjIhvq5 +/ZAVlDsP5PYswU1kz9neueC03s/HAgvXsshYUuLs/tiIgJgE8eTHS9Z/3QewL7ylKFq0qn93jykQ +bbUKtuhcolXq5QNDOkQdMGsurPfmsnqgXWOnsTOelHJpz4QXSKz6EI+Escrwfe8vrbUPNhvpHR4T +vDcT/j+j9KhRxWr/eSVR543v9sgn/I4ZWdeW3Bcl9hZaoCMW/pkbY5cA27AU5NUQsx0t1b7PyPYK +NVQTWf/ogS07nTzFWTBZIG6YuV9/jvQhN9LcYC/gbGOQbqy8O46uZdYd/G8wcSARV2iSnnnjQRmY +j86UMNBkmKsVEXmcttOoaXbRY+6wUoB3chPd33oB4OVvUUn495n3EJGpm89MNACtQJevb3t1dmUG +o1YMQd9/9ZI9KJDkj7Gd00Rz72q7fDy2Poxv1XwZQ7WFu1iyln/8/1ffDe+VHO6Tt4yrUXR9v/sV +0BhvGWZ9EwwHl+CwEv3noZcmi92qCnJO5pCF8iBm9cfNp18goLRO6Vpzu63NRBjOIvO7R7xGJv3B +a2vT4epo+34mINx/Ujh3vr40MB2Hsk410zN4Viz8+eEgebHbn7DgiQDFVhHfWB538/IcHNUPPkoo +ORXP1BNv+5lYJybAugC8JzkPXwZ3QYX1tVBGeQeZDx54ojc9+lGQItSCJ6BKzz/4z6GNu+LNmVIf +WUPAxcp5unSh+piemMvVqyzGerIV8XVC5e/ey630cTq7L1zjTp+belxYgsy/ge+kIzf1q8/x03/q +D4vZR1hfXidui5UYhaVlh0/6gMI9QLFmUUzZVtmgbGvAa1EmOa02WHxsPG44Zn5O0vgMYLbjnhCW +r1q2ae1VKt/ghfcRQ3oyDy9dg97cjipOxChjKaCnser75Q0+JAlexV0h66KE0sWZvkUiJJhsat0J +k3CXYyWZ4v/BjnmtK1BtT44pIz8zhXaw+PRzowVSpE3gl72nlEPZEi5gdET3IaSpgGBmlBv7lYne +hnl/99hB8zLTh6zX6+9RTSZN7DltN+0b3UdJ08VaVs3Dsen/zZR5pfucmYB195ZC2g2wDHNJF2/q +FVYYVzWR4fXtsMkmjQXHQw9ji28bbaXn/aNGpSP4fCeCFAQviAU9ZwJHWDzGFe9w3cAj4JIZX7U9 +vJe/3ewkwe/wOqCMOxE+uIX9aQrhfQTU1uoNd44dbZ1O+OJJiR1hXmsTcnVDt5BBxTqpyZ7cMs/H +hRDFjlazb/ymJdSv5OLVjG71yGI9R3ClxxIhXjj6NpParUG6ViIMZJyep63E548sVkeESfoBMqq0 +kPBOS9bYBYFnal3X1RQEczWQ28QsW1EPPyAifmO32w4hU+i5uqyklPw5D0gRODFDn1FLrnuUV8BY +XJDI74D3W9jZ63YzoEjxjnnShDNvs1wnLg9pX8X/2jixDXSuXB32jcg7LBwmhzbG21Cj0cInUKpW +IaO3hqWmVZU6ShnqcS43rgBpPod4VQZ39WtI5cE37xpGQ0IDQt13D64KrBvqn8QEKylDkooPDzo4 +43HUZOvCMNCY7lDIwmcnl+X8sr+3wMcjgqrtLx9FehyKqnNtfHVP6319Cm7cbB/cj4ROECIx3OOB +NXJCxxSU05sLmHOuclzc2555C4FfzgaEy/u+4N7Vg4IOVxzrqw0FRirsLRUGdqV+QxHMgtYCFyM6 +8ZnCcGaWZAWsdzddgm8oIvx9mE018IrZHa6UEq+IdzRIX+F8cvkOTsAJnGQeAuuxBQhSOOUtF0TW +RGlGAjewJ2oUmoTYfJl9U61P3eJ8BFh86d5sbWdGSOfuPzlp2MKCvf0LNhYG2DDxo83sLg9OA1jJ +9jDXW6N2E1+on/qXvvAb6Mf6LGcRTq1d/coyW9L/Do0GkpdPombDuPGZX6hR8CnhGkKs1/EdBfoY +SUKkkWmyrgMJNTd0JrCO+i5IIIcQTa+yeuwuDZhDYcCcZ/xvQYOEhpVFByDv1IGbTI9PkZnHdPJg +4bzNSrCVHWzFphtgew3mkGZAJDW0qEgvLWT53Dqn5v/K0N2RMic6pDvXJDQBM3nSuBcM5wY2p1Ls +eS17iNEuihPP8VDJo7vVL19kTtHWeHHkxawiW7RUmj00I9VlMu94/shxq1aLZss/t+8VrpAVN18d +kliBu0rvMjSNnoG3B6f5XosU2bc8QJ4nAC2LHSuCjqTIn/bjDDrnlx+5nJ6LX7IWK4R0vJGJpSAL +AsTUe2V6ELE5enuPkvw5C8l/fuDZXpO57vo2UmkV2jZs4Mhdvv5kI/XDI2TFZytr41QXfIwENO01 +3wZ6wAL37lZasAkvl//i6Ub+0nDt7Zo5GzVnxr0k1ua1SJracaQuSuNvcOVf41UAGaANGlhEN8tV +0NmYEqaDyoWweLuxqYJ/zW2bLXI0MjTJdbsqOZyMJkdfAEis0f1Ft4Wm3Kp08CSfYRDOZtqx3jGq +8o6V7qI+huHLZw/9nFU7qKt05TTYPGb6uaTpQC0kB5Lfp1q9ATHdMiLFEep84V25v3/3PZ8zh4Eu +W45SPzIa0xDFr+NVuhv9R4f/fWSobh9nOnGk736W7JJXhkiJBEeoYQm6F85VXpf1JZA/+RrYib2h +tx938nD/DIYVDenMVAEr6PD5rnrO5YT80COSkb+w7l27uSo+9+mUsRRXkcDNZtDHA0CFErZtSqYv +ImqcuXzUjBDgxbMVEZFfPM1I3FSeNtHj2FMdvFA4Z2lMNOLJQbR1nSA1h+Ks4pDSqQsEZwj0h5/H +WT6AwSBTSQMNwyb1lxxc3BGBEeO8mO/IrNJFzJ1FNzKNTP2zMyCAxzUJrhLLZ2HewFYCA9PCKkr3 +4o15e6pce96SxbD3Wi8svJcaFKmmjvrOCX1Nh4p93kGoMKldxxFSHqA1Bl+uz8Rw+BHu2c568nz9 +4QAfKKhxM/3/KYR1lwLtcQmwPYLzC5I5BcTEI39se7ooBz3BrvDJaosPjUQByKLMBhnsAkibk5AW +pItkZDPAwO6XH/BhswcuNWTDk654VLYDv+2pE1aS1XB1YUMpmwpLlUFxfSxEVKLeHZ3VYyP+lSNJ +tlzXuk/XGv2YeWtlMA9HC2znaGGhSMW6L8I2PyZnfUFagjAOOaLpr4bV0FpxIiP51UZ2knJe2ivi +ULbMvp/HiI3JLuFWAI4pDZEHZODazrGmw7RRKbUngkL+zh8cTntOocwbnmtuuXBp1upl5rQe/6it +RaDAhFHhIcDISUHuJww+cWOMypQsduXLXPQHWQ8AqA5FvmYKbjhrK9jSE5J9KlzieA5oKvH4ZSXf +VPghciEDq3WQyW71ZETi4ERpGco2xoXKXfSa2nNyMf0QaIZ9X0DireRtcY81CTUTjOEE3mvHE38p +m7XgNYAZwhz87tWiy3EaznjPTnMTDIn9Fbj/KFNQgArvFUEmS6/nQceE16T9qmJq/vcZY89thmx2 +sTm7Vx/GVgjiS1kRLpv6/PfjdFL/X4VnXDCglP4TRixoB+0uomqLqdzF9JiC7eDINy7uzfzEckd3 +aepqddaKPIjwUSwXS3ufgcZTaZaeiTGeGEtgsM8ueQ4urBsp2jciKD12yPgGy4MWZZP2fTisCX7z +gJ2CxlJ46wiGYwLMQ7u3HWefXWS/Y+51Y905OZu5U9PpAtW3s0Q2X0Wi5MAKlUH8FJoJAbUNLNMV +OSSyVfg7AcJd2+3i36gISmjPv08kMLlhBoMnrN98FikAtUcs1jqpIZbYu/u2zcfRM2gFK4bqgate +mO0g5g7bivSnWpmwSUYQOxhPeNTkD8rvsh6b/CTFQ9h8Qc/E2zQtQ2gIJN/D4U9U9OQs2FD255Tm +zaLy9iieWlDr9Ua/FFWIQt07zkbxDeArK4yXihn4lLP/Bww76V1Qr1fHPzvNiPNvAz1W0pRSLSKq +DbZF8JGZkupZF9LVRbisQmetrf95eStlevBsa6Ouyqkvli82X0cIc6oJCG0GHWDmHMItiIYsxYZE +5ewRNMbQVfo7NqWlzIbxWZTP/2mLr0t97tZRwG5+mQrgGKIhgiIUs8BJcfMNINubqwhJiCbONbF/ +ewtehGTCCI7vZ5qIZmQUXYPUbj8jSlCYLh25aQwrJSjfFywe5MgMYHG5VEgq+Z+0ujufM8u2A0PY +AN7JtnvttOZnalbC0f0UqbAv1+HTlDzO59sxm8cHqytKY+gn3MFyQAmtdYYQQH9r3u6leVqSzXC9 +EyjPQ7iI+90XjC1aNUoUObZ8gmXegwdVAHtWbtMFHPudboflIPeplBA2Uf/vlqLZks5sqpCKY30f +MBXsOY3y0xqhDwrsecPnZrv7fkt0Mfi/4VIYnP0kFTVlpfdS9lBoWOCVDLeu5LsLHtjxS59hR8Rh +JIIVnxrOQNTG9P/Bhdr0WyptXlQ+DXofUSb26OAqWZ7I1rvvyuAEWcQViVLsrBx9zTBui5xEP9DG +t00MEkcLSPdMX6dLJf9of+8T5C953qYd9q4w6N4OUlemiS5jjFlblhJdgZMbyiQ5aUKMsvN8wImR ++pq2e3hbhWAAXFBdeQeSNH9T6lJ76vJF7S633lWShCTT03+MhLBJyLXvpS+DMIDQ3qQ4qT3mnvwO +SNzbNaS8NZq6DKy8aB8XBJByHU64SUAAvKcCmf3R0IloI6bSxPICOesTpr2jXjSsCMu40Btbtny3 +0+Myn0tHPiYyH4RIU1Sir8UCgZ/Z/CpsRuDd4n54GmrvoHu9Bv5tMzwxE0199YcCjgI/8B3ECiBy +BDDmdEgnhBwOhG2T5kY0VfGotc8Uk00e+tTKPSztcjb+ZOM42sajUgFR5MKs9uvo2udVrgpytPFJ +kG2K4FgisDksFdX3DDQuM24T/sXAN93EE6a6d4VxRr9WOp3V67L3N6XFlXXn7lGfyEhR+RugSRWB +RE9M/XC+GxvYpPjPGIpq4sbAeRN9q3SxQK1bHXiFSfaljGQDeSwg25KxeRgkByhEid05BKDAm+zP +9xjcOz6gs7uTCgYsFODwVt0gU9fKZ5R5MCw84DExbdGt83iEf3aTUeDo5TnfXqmXQwWd6NkbZBVj +sbJNhudKCKH+CaZsuR50B1PMa5rbHkjhaWWS+pglK3sR62s2xfmDi2AjVRLHflLAC3kDi66hEtKh ++RkiF53n1Nd9gg5sbp92XKOiAXa03M7th/WPEZWLsz4twPaUhWe8qDh8FsHyizXzLTHiaIdqeqNH +5rCnYGsT7fXWXrymhnMO8UETx0TgcKFoQ3RkvMBnxViZZoSLV687FrCzv81IKJGPCdhWNVwKUysk +ZMnJoOS9oDO3opWECqeY7b3Rt2Pb7Fk8YfLtvCUGiVpBDFBhKhdEpSX8t/B0h+x3WAPVZOX6fw4/ +ykZnNyxJnd50t7t5OndJhtZJL9bEZmoxLkz2A//ZQkQgFeFrWUrZBxmxk+ZVEapDOlFN3WxQMgQG +2tPj+rNA5bIjVmjSr6jziZwz0dn02awnTWyE7uO2YABG2AXuJ/BF/SZl+qUftzUE7MKlZCH66ppx +aPjX0WEyuyeTaYuKfnFkYwNpy9L0mGsynk9sG6o4mWtMwFPgduGpGn2dDMHDzAY33uB9jxE+DPrf +VdOIKbN4tzPkVlNYdF9xI4+qvK1RpCpLYZqw9Ev2XwSxw5OLm14sNJC6c2BLv2tlvRTZDIPKWTm8 +wrZRsT9KWgjFT+rEvWeovriJTbypFNxSAne47ciZ2YvtrQt23yHk8yoHRZx4ook531s8NomZtR1w +zFF8um7NKWrl1N+Rox55CCYnUXQ5x8tST4MOgzEYbbwJrOpF3F5HS6w7tcJDXlUXdFf6D8OfVN52 +EK7o/VTNUJ197e1m7PmzmrmR3tpaAa+1EyasiTjELq4gECbBsvfmcQDfy/AL+CdDTuLLr319Rq55 +F6KdaoFic5v3fKgAWo8p0b2RN+i4CekqEp+EgLb1mPOH7g30Xce8x5naMBnM8OH+7JAouRoMqIS1 +mte7YO6LwhQHTg35//9/sxGfh6DrN0pEJ9ci4GbCjtENWTxykhMzfBIVOog+VZGmP6kmV2TEnOuB +UBfjTIBaR5avfOGGdg8otWv1CqcGfQ5kB7zYmZTTsJN4FLEMwdAtjpKvC7qMU76x+rkCjP/hJjun +o9hw/dAGAFSOAqGhXKqxDqDqRPjNMhnhozcSe8AGZEK8Heir8Cp6yCdb1ps5jKUursXCAfAQoQv3 +mb2SL/16i+JGO6s8Ls3eU1gxmhiP23woFZkkuAeBOYLL/54s+Z0EtPtC/qr7100PPEPpCZMxMIJm +3AroXLdP9VxhDPs1eRHgx9Swuap52EzzasNwpogjCgYy0+FSVkzF8+wHlEsMqNkQJdC7V9pqLckv +96xTJZHmT3oJe5muIu7P9v7gBA7XUDXSDsYHpJG/nSOnAkPiKvB0g90gfh131SfyCIw/SneRjDvm +dOQfvWUMM1PcWDHfhJCN05bg9ItyxqoTXxAN73IgZBPH8V8POV2VLvCHRzuA+vMr3Lr8rVip6Fno +FqYdvP1xosRZHHx3bq1tMqHHnjo/TQS4As12fDuLlkOKvuRnKCeWsfEIqWXC7uQMYS9nRhPe2Nij +JK0XTpQTLsI2MsZ91/j2yk2IVR+cYVClbrC0mf9NWQe9pwxkJTMC2c10VX3VMspzmI0EQ/xojyVj +hvxfGZRJ41iMewEisB5TsThRGo2kEXww7D68yktDA9bd2+6xhfO37QUUCr93HQN8KUo5GWNcdVbl +9HmBp0apCMevqoR/iUfKXtZhUfHJ30MaFQVckYIrc3a6oN4YREUnXewd0lZjh+zqcqRrCkW1m7kc +uqExPjVUwi4DwiRD9BbvmI6sTF9sob4ZDcWHyOD/tjKWLKwV0KANBBBFP93bEXnhaWBGLqQwfjOU +c0ganMTKxxMry2kGWg1cSfwohpUMitab9uRYUOsZ70a+lqtBOO/KVTytO8WFvSbDWrazW7o4ppHO +2KeWKhyM3SAGU1YKrbtz6HjV6s9NSw6eST9Mxsw4t9yt1vBhDxAjOOBHlMZ0FuyFjifDDnO1koi6 +1acXQJoB/ywuSNbIsoz8nNvdEZ918qqU4PqDlqSCqfwvKsN63XLWNHg6B+yzxVwa2FnVWhGeG5U/ +JQPzt0c15Gtmo9oe8SAM20BQldAbhkUTXKyUNITwfxdTnySapfENzanaE7NiqWFeWZcfa9t0v32S +YxtYj8vzwTcZCbBtul9SvHBxHPu5T70WbPqFdkFHsCBgBf8hX8IcpwH2MrN6mf0LaCRdY2l0vijv +NY4L1+Q14PJnV3iu7b8LAYZHvMRPmRdoAbS36+atBUlqhjezbqfKH1bANIYFWjIVSosJPLyhPre2 +ffTyqG+5ah00QYs/VwUPRE4vKJ2Lt1cZUk36GRVDLfhhLPwmJaYeugG+SmjIsJWfXpDQfQGRK2MW +R+kWm1E5a7w71oJBqJ19Yr0AfJg2d8g0yVaH3bzDMP6zVexSpBV7EscVfd2ecI1ZYjEaA2edSMWQ +jHkAWfw9apLAXUmYNhCwmUeZLcIK8AbWJwdKxjF032speiNCKMUE8ViLpqiB/a0HhV4hOEjA0F0f +KY7bE0G+zSjhJiiVJc3vb8bLj2wWO2hXSwXnHKTFU2m1ROSnTFwmeFfqtDKqRWaAbxJGbzloWukh +aK5i2QcfSCtr34AiZJ1qvZvffMD71uIK7YhViLCroSFamakgZK4wpiDWebPOLU0QRMU2huilKFXd +gbM/IDacxOHDqNm/k3ZGAGF2aJ0I+eTPZqwGCjOEVgDyGsi0kkDEc1MB60C/JQ/6NDGLR4estKQp +KkXCgwEiaGuixrlSZFTJCooM10axzNQfGNYH8n6204P/VaHcLyTO/6WnXlMBwC5J/jDfx3UgcFVr +EwT2iuDikWFjgiCAZPoMbSDBRcmpb8JX2/EWPdUpGIpqV0PJgLrvzHdiX42ivk4kTxDXlHmC9Pc3 +ZGCRhLbswk3rxV0gRqfSq33ubXh3mcNnHOsSCnWizdF7Tc6EzcR4qla5ZEUuwblU7A7zGLVd73zy +GLxMTZ4UL31wV3qb7/a3O6NjVCrRNq35RsrBIWrkStcu+5XRRUFsjY9YVgL4tEuXN3+iwnoOvafr +3OBn3Vkk8xGXihX6kH05xdudUz+IuKgRneZVnvFWf5auw9ajAeTI5X2YbRFwBBABfD5wabTCwl7u +d+JE0W92WF7CnBLCcTgxKmf1M6uyY/8gpmMuzMqxa81FMyI+d3kxzztJH5emhzFxgcg6OqJwcbbt +UqqQwA1lUkNeInm9qC263NLfvcy0NUtV+n7JHP9hBcKN3hR6Gd2p938OTaPm4ljEybK+r6J3blyw +anwXtueMG3P5emlwOUMcqPd6N9LTKFk6BOqFO+umZcIe/FwjmI119Fv8+BCeIjaFs59g1Go8QZXz +5SqGhFBpJtaTOYnv0G6Bsa/5N8bmytw/mUC9dPEd0gWAyzMHWn/0TSAYoTy1nEJoqcsmvdHYiW06 +2u8UP2PmYHmpwvWmwt7oyZajNypnRs8Wcfrpptt5xUI56u7KtiVEJfwrhSEH59xFJkk+Y3SNzNNJ +f7hVbfgeEm4rrsjfG8XIkxAaS5J7s101P+yqrck97U368fYzI0Sl12Ipk9k+C4UdLIUCO9PNNFFq +HOqO8F/gHkDqlq6wg22Y935uWTu4a2FUjKf9eDiiUDTxgO1m0hhBCDlku/yI4PdBUYw5XcZhgSs0 +Kt1KDvDUMoXZoGUStCmlsM/UqPemzCANv1ywuyDb24vo6h8hP11bfWabE1uWkUbz3MEdKjr2jKjw +k+TD32WXjdEK8h0WkCwHJkuALnAg6TsGOiL93tUhmDlGA/6O2hNaJObNvCJmBsJOp3EFPpeBp44W +XLHwRtrotHFCFbRs1eW0IE4QUlQux/P0k6WmVUziPuLbc5xzwjA+zkfqa8fKgIMrlVMzV+B112lZ +F/6N2otU9NSvRUsl+t+SF1WzIwVDfVkDzy2eVA1tLScCaRv0zvzJft/dgu0H0JhweIcGjaCtkZwE +WC/M5UnM88DKi0GQ9rHnn/fp+z6o4F5R5Ll8f4WNk+pNMcnZlcFM6Ip9Hj2s1+RiyMnkHdQC5ic5 +3ITTGVpNzbXmP58t/KbcJsyVPMTYEslEkI3/1WLawVBtEAuhIb3iVo20gURPE17whEwnovX2VBKo +EZ/GUm4lWhcXr3xHrSPdMs7J+EmsXSaML4q03Q8VRBU9/HhQJWq47K0JuGqQeoLspxI4YfNU7ARK +AjZBsEEJb++z3Hny68h+Lj31rsiJqSYg632cj8S2yF75ymNyChU3r79puaK7ssROdpt9jydgVPQx +56kZXMcsD957q03wuCfxJkWjB9+fxxdjTl9EPB2qGi4WUsJUkGmHcmUfuAfAZ6bQB9Fj7Vs0NWtW +fFymojbK0qLSXuCoIOmQkqtwRom0BeOiZGQzcLFPiL1RWca+K9AT7ttTkdiFUZBSldipCmd1tH6H +a02cFauGl+Ow0jVCl9a+7gEMSnnBkAQAEJhCnVzeD/QW/DPcccvz6nb42VKNbW0Wa4OJ3o+J8G/4 +wOOGitgEzWw1mStJ0cs5sbxBCCRUHihd9bKRcNuhxsoenPZFkdPLsBWchHNTSiTSrwtoLSKOQYEk +rC+9slOBpK0JgaEKqMZgsoSRk87QANfJrvuagF49a1C0ZwUuO4cpG3w7s4+EJkK2jR2C7hICD+CS +/fIcxlUDI4+odvwM5A3t4ObtgEMudyjOMFugcXqpGnBlXD6fv7Jgwf9CGLig8OpexKwImo4e4MEp +BVjk913fLWCVzt1AC9AyQLuepGcF2F4tDY4wW1H1/QBXfQvKleaRo2D2cDuNKKrhhQ64P9PDUW0s +2shHwFQCveSDoWFibVVJYlAhahLK5+4/Idr/5eIcyU4dzHI76T9lWJv/F96bZm3c/16lWGD53lUx +xE+b4XWzdQKNktIiz2yj1PXNmVbDX0gCyCUVxUjLkx8wEK/wBUU4ToRvOi3gj4PRyDmK5eLAA/wT +NdJVGswXfFElqqBNqIsyXvPTOOGzaOJypHu2tcXS6cBOfWoEzBuBABNxwW46kofI7iFURBivclQd +5JWIgaizHtKvVZLd6mG7iMcEfB4lmQZvrw2OuHmzimMEDDWYGTUelZnpt2ubKkJsJmfpb12EDNmd +GL6T+GQniVICdHZJGeXdmeEcKkfX0k+aCm4wo3SycQxu4W5CM+DoGqFdu3F1r+6OVZGNQa0hdqQM +bfYCyUb7wDpbIJaiIo3LBmhrOrww6fbCo+9VddoWVhiJW9AbRL+Mfq7gGJc1YJg9/vsVb1E+wkU3 +MIT+JyWY0rbSuanFmt4NcUKU3d1Y7PqBFvOOaz/8JrKTE2YQ9pAvaMd+Uha2C7hda7EQLASlr1n/ +LEZef0PRzvdrA3xtmGJR+k2oYdtyNd2GcIPaMmiCwITVeoRmPsEbZJfo2T31I1aK0QwcsRR/t8bn +GyLU/7M4c/DcZgYGR0XeIfAZnpmAg01v/qpYNfB19gbQNnpC90wYmNpByoSmWeQSki4nnae4MSab +FJZNyEwENii+aaE7QPLyj2TXntnh+RACU0ibgVXzE3m77htaCMmWc68rwa9MMBdWPH9xbwqkZSTY +ATJlKtKluML0LdRsS8WFYVwMAkHDg1CLFGYeP4Ce6Lf4Ki3JOSO+TPJo3izMpRVAujxh82SshlCu +f1y4ptRTifPRHHF07JAskjftVyWv6lvErrfqmiVNIfPmp3zNUNG6zKVjnd1Lt+X4kYVrh85dr4R2 +4+FdTM6wQhFylxpPyu3BBFfDJOcM3vaky0LdS4EwV2tP5H/zS7hnEJQd0X/0nQ24nSvzKETyZQ2t +1x7aU6RrtPRo8WOv5XPFuB7VBHjEvCKd3r3dayO0d8gbAn+nhacucUwR550oVYVC0YyAgty02enI +NdO0xFV13trwIa9UhUjEjCtToR3Yk47SBOJHoqhH/SP8CY4JOg3O1lSLAkvpPARPetvtpv8MQBGs +mbK+NvLK1vpcuCOdC87S7aW7dmoMsUGU/kcX6u/KeEitqC97WF6y9QUjlF7WqYiWMrqSmbj8o7YB +niDwjUY9omS38eY0xHoPeT74gh0YwncR1xuRwQivSfkPfQ+WRKr9EMx3XYcR4ahbmQRKC43Xhq7Y +4YVr4Nm0Vp5pBAyT3LTLc3lG1TGZwHb4MhJlJpT8qaocRlfdzjYwcp86hh7ciKX+LHGei3h0xe9H +wTgtPq5/tMaSfFKR8zCPyQnFrtKDbO4cKaRiqSETQnn2zZYrRPrNck/PXzF5LVERPDBVmwduvGDC +shVLtg9r6tP8pEbeATVBeJXpGtbLM01iocVbzY3Tmy/13+8WgsSyEp+NK9LuUHZ3XbgSlZedQCnq +4cRegrE3A3mFdKe6fmQWN8681OTqMD1EZLSEjL7vrhnvdJeSZO5FWE5NbS+Ib3q+k0J7c5q4XIoC +WtUO7GyMhOFyzexU+uVK1SKi9oTFDa9Jf2WbJiqW8We+LyURJm3sFjIRzA+DyJ3iaGgRzaj1eEs7 +ot4grb6ehS67wGzh46U3rn9SUXK2df0ShgTFDz/8/QwCs+3ZL3ncbcuglaBj93Pjb7KV7GsX9CDN ++Xa+Wy2qrlNRVv59lxvN43H6Krv70/sYAggsfiw7ILycEOC9tknqf1Qx50gBLC4nUDS5X7ogN1Q9 +SN7tj7NmeDe1ko8vLHn+p9CNd3zY2Zx7XGLRU/oBGNxbnWmtR+D65o4uQe57tyx9pmiIt+9CKBao +beQv8u78VdVm+LOVYdJxcutCBUKgtPjJimU6WsWKUP0gAIznRjSpCC3YVj8cfK4njuriHFOLc4fD +5OAXW5nhq+q9mtW124ZBE9dJmKTEbiqPMcDT6B1EWwFPTkE77FbBRxa2VeqSzJ8KP+X34W4tnMui +1DpJ9IZu6dVy+51lGsPRbntFB/pyuGUe3w3Gkjkd+jU7DhBF9XsRZfL8I05iiqgnu0UWDCc4nIVb +2rfIv7HcaAVxZVxmCC09CvxTr7gPfJl9Th1gFykYUWgEti7qcTyJKkQuFUddi8/PrRzxThdTwt9O +71swbG+yEk8GHXXUZoroay3MdE6sK1T/9vKaMEsxXoVW9YxHMrfOhVmxp98CTw2phbPP+9XO3x3X +4+a6zJ1hx0z7FOCvVCBbpV6arFfyGOcIpyeD1lCRIwKBe0NiuAXqBdFI97mzI9w8FxvEPg49XJ/2 +VegUg0qiFG/LeicAzBEQnHh+MyUZuh5mxf/UjEceYQPnG4eAtYeJfO9UWHjiaxGuiO4FGv7N+G6a +Cf46kh6W9t6bLYPeCzd0iXuBfqniL1/524HeN20dy05004Q56EVm1FIS69BdDNI7Jrb7MdegniEH +5/jMpUO2vCoI8hXApObik/d/J2pyGOy9eeT5I7wp2i6kpQ5KhWZmRQMTNDCzgpSiX042urJHcn04 +xDaxHSxG9UFUCFrP1mHgwwUlVyW3RIeLv2pcP+BC+sgxRQRg5q/mFLvnAuWs8pXGBeN58JBh0IKL +TiTadraqqGh/AioUcaG3fXt/YBUpNhAHYFlv9K3A5JW8vL21N39xOfD1bDiLftlRCWwjBcqzhkqH +Kx3iHsXngn7fRaTqGuFjBoFKstDAMRpXLNaFC1BqZyB61Iofk4krd/7Xdxqryj34a2aP5BC62eHk +Zy5WOlWGP/m94nqJo5KoQNsArIVrfy4fkq5JbyOMArRjVkuZwUTQegMYbUzEYgp+DGoy0OkkK1Wn +pyguG/ltR/MMbYcJslGRGtNYqzPatB5tjKhfuVPbTBZMvYgJH6iVBfjAquUNBBFVNP2bLaFmqwKv +Tz2EJAomb/uu3kfbyXbYBmSjGY+tn00EFH+ChTexodU8qOwgAm1wNUwQVQ5mKN9qdNYSVIb9ihup +qQFAK/Ac1l4mhRGjR4SFnXD7b3iBcFFegKhYh/rLFe+WXeDAR+FkzlCy0LalUYv8Ja/+3W+HT/2d +xPk8ubAyWkl0styjeDENU0bn+cTsjfMsYXo9nlo8n74UOdm70UIL2mMiJB+xqBJHMS/pypFmLKec +lEE3AsXrLseTvd6MR/wvGXPHHQNjI0DxquuSoaOzOFVLgCj4m+CfEQK6tYL72P5WR4v/ROjdNey2 +xQ0UximO+MQ74cbLIHSqwiLpNry75byjHw3pDFmPi5zyECnt3b5VcCTXlW+9sjQ7NQ0uodLwhMLw +SDCouAE5rKPGU0CnSXfFbzvzXmzix4qgoWwLTBL0wP2nyZBH0Bi9elAKh3T7i7bD4cY8svMhbcXn +LBMsXwrMp2PiNW/IbAoxIpXyEoFDZVPNKxyAe3tkjHfwnPshQbzZTm6wO14asyu5sB1FjtSVgxKv +t3ilKx07J0p2piHQEp0erCysLsPhnfKGlkl+lpJI3aW2tufgtXgO67cvkudQTbJmkiqR6T8/jl8j +abiNe+3lysO1VeXf52fg3eVeaqZRpP2G8ujDdG7nOmK9sQuSG7BfCiej8AWDV40+PaxmUPzgyhTW +/4MEwh/WIJyxQvX+AsYbrNkftN2MtGJ1tKToBTMwIEaBeF89Yahgc5cFTxt5bhkLIjElf1DoqT31 +h143rFD8NuEtDf8FYaEFKzBf2fTZvyN2k1hSzMG42CE6OLqDvTAkwVcegP4jvzWkRopwB+Db30dm +goRobYMOJn1hGL9WozPAMvqdi9PFXOWmDolNKfv3adAqFtc7GAivAydYQPfeRATz1En36jqklPTC +HJ//B8RphsKXRAk8jcimZswxtYTizUG1MLuwNsohQW7xhlgGB1bN5QT8BliHI1RkvTLFmgdOoy/y +hju22W1FhEktRulqeMfG/3jWLsmIiXwrENTzHN670OSKshjaeqVNYUYJeaDq3Fl9Z2DWs28pmx3j +jHUSgVemqQmRJzy5AfF2eV7cOKxR/htbNFJmdE8Hkf5ZfRlBeOIihQJFUHshyrGFLnTLfWFbpetT +AZn87oIxkwGvRwWacsIEq3hFFiUgl/vpUZ95oWW1S7jsj0bUMgck2vIy7EAn4yEb99iZFOG89rgF +XeRvydIdqgZxJZMSZT6VwJeOkWuAgJ+C1lY1o3m4GZ/XCo3m+8vF/mkb70Plnb3hkQstOC4hnIs2 +NeSEGBjD84n5Bm749iBbFBVrC26u09BQgQKpjB1On79fVfFDzHy5iVfiizMBFZ3wuZo5Tusoy84F +X38UO4HIy9q4jzVeF1L7FF+grCswcNfAYnVK3bGIHN0D2X1Eh6KnrmUYpv/CuH1NFztVblgAmrHG +bYS/c9Hfy85s61mNseURDEbnyQfGuuYafZZAAvdqUGxhQV9WZ3NUiUPAILr9xcV9XHK/XD6JhOpb +fRnLDP7mPCUnehm8gGRn/RIx1plMu5cbnbs40LDcroybOjJW2feCGNjOjPpQEaL3fmrCcjkMqKEh +2Wk8TqYUBXqwwo1fz3/yxUoOO2ihqcWl7siMrJfHs+9lS08+YQv4xZE7qzCPWKcIa5r4hN9nNM// +4YLqvJgbZ91Bw0FHR+evEc53rZhZVSygr8NnmgX1XUVqsZX+BWXr8G6rc+zD/F7H51O9zqVHJWOW +39S/cn17KDD7vyeNvopsxGGG+RvBRi1GbwIEH+syMTfyEtV96RDyJKq08AYIaU2HkOVUsyY377Zu +ByohKFzVsVZfR2o0tJzxHQzGjcpgRH39wFFuFGpPrW+oucIdMx8v+SrBnIz+Izo90682zFaRzrZs +q+Y/2HUjMsRIBYk6nvoVaHwjn2JnFjwbYwTma6S4jKdlZswfNkIpwHPnv6vZxATYrj2kwckGnu65 +tDGkcVyJLshwZr5BMDOL9imyjH9q3lU3O07oappKUqwW5rGxU5f6yGUC2OR/XTMDGZavwGG7stHY +M+6JTrLcyM8SgW5q0rLAkTbO5orKtFd3YgyT4WGs9QLHqLMiSi7W+/9tro9ViCORa85+SYsy69lV +T3fZOa9+F8k04JRNBwXcCnkGTdGiDoOc52I6M1jeugVoNJwxDuDMeip0Jtq6Beq7fKPv+2MPz7K6 +HVwVhHTYRctxrY7xzEMkoV0GcGdryneOJCAEPqimNq63ZQTdG1cdIwkO0sFlx+GoJquBuoxDim+m +KAfFe+rTkHbR6n9UhkKMkrm5e1WeImY8TVjUYIUGODZb3qPrNoA2f1qHCHyD8PR8dFLiW1lZgxSl +yRMhvZpAoTvaCL0zrPOlRFO1wA5gYk8R1vJ9B8QnSTW+/CUXMOuv9yf0JK/Vvv/QIGGddk5yxE4Q +/rsgf9KuldZzhFYAnjgQxuZaXUqUPRhtJaCIZ5NK2PYD5P81XPwlaz1RnlpwbLkb7v+BnJe7dRnU +0GbIwbWmD40C8tJflzx6mg47q306htQxyahLV5lo4XIXW89blo9Guou4z059QetWXfoMhj0YIhLa +aaiWHu/YUnPYmWA8wKPL0QJYOoG/mF+MtGYAx/J4gh8UK9Q6dXuj6lpk9afwuxU2FLEY5/4pVUSC +Mqr2RvIz4XEWoj7aNqqlsFDTXa6FJ4xNEAaOLEuv9WdLEPr3DQeRerYXqFteQKJHVGxdMxEwM4Pk +v98ycYEymWTZh9jKBageeUQuQlGxt+BwOJpCzbdEsshRz43NGxUGO8xYLFw+wHXRmTSWPpPK3puh +AH65VfvJgCJsNdqsCKHag/v/8DeUDxRQ4fl0f5ndurVA1ntV56b6rdVuzWRclEZlq2WNqguzOGRK +0yDpaI5q+tb75TiOBhLEviKB2RZHDw22xboHIYQzT3N6njjGMx6hZGpHT3GI5t21miqxp7N/C34L +KEmZv2z7wVQh7QNWYuRNFXFsVQIArT/ly9ZOINKZSsMcCCT81O/nyNqW4zV2/ugaODKfT1gi9l83 +Xm8zpGK1ANlqP3+h7jW1IOxgaO6FwemLvsa0SO/dTCrhXyXUGyTaQrvrpMTuuwR+n6oxWi6e2P1L ++Md10k59eg/5pjzFM4DaKOJa6smHhRkWrFWVptHA5aEzmu9nAGkcVQDBB9JEn5QXGmBDBzqsay9f +uYoZNu1in2xloEFxBf4C8d71vrnlPhjV9UAq/8/2hcFJBWVImvH95udsM/9QQIEOmMWDvum3y4Xl +LcwUw89MBgEQxRzXcuerrIr9kGcC79bYQo+6b6d3SlXS50cGIxt/S7lXmUFKtt67aoEYR+zc08zf +x2XqLO0AOBVKUN5yq48E759LALSph7Ia3K9DRm2ESfTCnt6lxSzWdYUrA3MC5FtxWP3rFK1RjJwZ +UVkjzbB5YkHuDiFmzfQaY/F2haHILpQr+yoxrKDbkbBlbUUR8tF+KVXwnhuzRyX4yT7GBvsMHYfX +b/P79sm2TGF6vkSuSfhMUAobrN8/TLzzqL3DUxiKG+bt+n5NIIFd6n2XcEn6lF6fV+R0JUI7L+BE +VsW4db477Opj25Wader0buIQlntidMY3Rg6Mz8Bc/zfJxpE703lTctH4Lokzu/GaW+Q9Klpa84Wp +ZI2ZFpQjavwgcFb3EPVY3ZtgL4suusdo70HkNrwB5lO+Kgp/Scl2Zeh//oHHSKgJRh1y9VlrIiCQ +YpRWjC8bJF1d8M+ugWSSDTcVRqVkYwHG7r8DAxHvCt4HHBJdjgeJWHaH8/bAzHPDse2+fTM/lxf0 +V3RO7KYf7bKHgyyCmSopUzwP+j6PBGwF2x0G21af79UtYZGOzti25D9MXzHnT2u5lIVqlMuoEAFs +x7C8+QDgA0GbwM2mSJf2ueNRgSyVM+V4N3IxRhjBXtJzqJZPLG7rRgRO94cSqqebH8SLPdrbYs4Y +q0OJKhAQ8CsUE9xG7FqkJbwa2MGfHKZzTAp13bidu2IKGYPa7vRyOyxsqvjGzuA7HYWafOuhbgdg +u+7C20MzBhC4naQmkwnMH3bt+LuILP8WLBlA0DIxnXj0VafNC5iVIo+7BPi2lAMGAk0G7/5o8CBu +LL8cAVyx+H0owyMHMWh9ikgXyBTUhSi8t7r/d3uhOPTONB9aWesPmkW0swyXaVfw5yYu2wqSQc0F +82mJJdklmflzQJwB5YgHt41lsgJu0hNxzLue8Dwkb4lfy5NaOiIIhmdNsKsbS2AL8u6E4u3l66M0 +YHKrl3//F5AUR5OMMowJ4PghQDM9vj3xyJnQVJoQxF5EevM69QfRmqzSzVVWmRqf4DIsMgitiU1b +bvt2Kgpoe51/6AGspLi0cHFv9OWw/3NfbzhaGaubV58mSRUt/mvjfVD62Szu7kgs9mJIMglD/0lt +6THytf9QaZY5AZhK590kuIfRpVJ0et/JlyJdl7nxTvukZ41w/YsHfIOw2ZK3xwqH75uE1f7V9qch +IioAU5zzzldXd/QncHvjAzaYWjJnvHwftEEz/CsfgEALSajePGyzWG6/nB6fQpxkJwwdx8er6s9m +meQq/yO3Fiiy3ji8BEGsyUbckv1in155jQIUgwNnWVhmfoQJn3frae0g65mt0fKK2dY4yrtV070V +pGnbT92fWyuXiFV5RXJYCFPnxf/zjixOAv5K2O1cr7Htfz9X301gGXIZuMY7u+Bje4sGNJOfpuGn +S4ZmT1A6i5k1t6XySi25NV8CMOxqFAHBcjSKtokucX3lwFXGEDJlRzm2xG7PSBqGf+/an4xkEnD1 +QXpP1JefWm+vpEPsJ9HjB7Bwk6BeTbBqfTRX7Wvk6bjOW7EegaCmRyACMV2J54KD2CsrCLf5D6rt +amdZQ0in6mUp2r4U2iOfLzXtArejBVEjo3qZIGjcmGdGrY07JI736+Z+t8UaHf1G0dg0ePddWgf7 +akFXxt8HZPkhW/pIp8A/g7gQroVMFR7C76Ojc51QYguuEiYS9f4lsKfXqU6Wuk0qLVkXVqC7iQL4 +i2hkipDKng4I9TLlInC+O9YBrztNz8Lq3ohbGIb2Z55E8EjedZ/+ZxVzVw1qGgQ/ewsTtqDkthA9 +PtPGjDmX3aKsLclaWYNeJR0biiWQVJLWoJrD+u+oWOVcmROxa6NIzx7IpqYskghGVSWA1IsLAhq/ +IrlUXNpx2oc55FKQsBfXZy5mK17UoR7rpn3dowXGZJU4ummIZlEjfgMDQed1EtsdQKnd9xoOaKpj +iqOKoFNBBwHcnwg+gEDWQNMrCmMlgy8Mt11oqseHP+EiZ+eFXnvcTyLaR825q9LJdRASImKrb+3v +N0Lm95ewMlPeFvKJkBJzreFAP9CTk6dwB9njxfvl3RIj10h2brZ8cTPEVQ94bf4oT3fnYQkwduw5 +KAI2sxG+Z9f7zsjiJi0dleLVcbCgORBgYDObmMdmGA2cQE/aAHCLlrMYe7evG9RUbcnKlA97HR+y +hgKvzfKj6+jKww/DxOdrPMTnwy8I5NroV5HQdTrG8cbwsgaRUKyLXUZNACN+rCyMD6AAN0zwtaQm +z2e45Ib+K8CCRzzOvDQBNe6acX77xgT1m3QF1RG9i6w6X53daqc1BSAuNt0r2T/q44PiwELymKBf ++wxyQXQN64LLnjlDQJiLcTpotuk6tHtWXIRSWelzx6cSp/7cYL/NJeIW73XI0SCBtjBWOjR99/vh +HcVFSAsv2R2BpiS/suSI1Gk06/IUmxYtoVL3bnCChVNi9p96rPNdq94osufXvPkUO++q/SVLrx3N +HLF8iY7oUFUIFBU1tX8GMmHTyo3iAeC1M+fRfn4FzEKPSGDnRWeFJ8RnHf7vMHSWRAJ4+m6ORRqx +/jdmIrUN3skSTw21XZleLEH0nJ3yE81DQXwvTxomC55TNEBdmB31IzAoCzLfVEgx+bzPSNgjWlZh +DZN51xBxs1ulOGxb4UI8n9sGN3x/Q8PZTf0rvdxljieketUeSVVHJIbKm7PtKid2G3rh/qjp/PES +CtlKyUROxVJdQTJMRKeXpMl4HMJvjhnGCrglB5ZUWPmwgmOh0U3nrPCelTLD+IDMHUZ9kmkNx0NA +A9hP5o0nEwJVBS/RenbfdSWj+Ur7OTxz4Exvc96Sn9ANI+WcG+Eq/FQDts6B+S5BEpeSVO4AFtWs +2rTL6QVOtU6WR03OzkFakF7VthjLCJ2EsFF+2mwY541Uy1r9Av9JSx8ScPIxz0cH7d0h0HsU9ENA +gXIA9hx38JFfo+adhL/zgD36zW9M1gcm+h4AfIsDpvdZxhhtd1SJV5d3MeCO9Qh3B6uIztKkY41k +9tcnYv+5A8fCXX2xoyx1lyZ/8Pil60Zi95DxFhgJY/fCFmPjWARZu68t0a1FH6w0dFRv04Sc/Mcj +Io9XjQ7NsD0QHlzXp9EjOreR4GHx2Xgg/NhkP8d5oheH5uU4rFyAmrltlLD6lnSYX//V+d48WqbT +LbBAtW/Lqs4S93tKlmnPwLcJSG0cA+1+cBhfhi0Mfzcjr1F1z9xpCKs7ZYclw5JccyHnQGuYi/80 +rTQ+qgCnNHHp3c4FOB7AldG1dT5WOsqh+NA2uctho+36MECmwgWqnIuEx38IGBRDx29nDf3OYS4m +ADacaYvJ6fK7Gk+UsmR2tXPMlGbuZWeUxBlXT0jK37QkBy5CShtzP00iYpV/O7B4R1Qhx5uG2qnH +EPf4sZg98rmYwo9Kn2jmc+7xsxUvWAsbOrfWk9zN3XtlyyMJz+OIaGO+LkVlsAAHuD4Gt/NBY+zT +1lAgb8o/aLCVMqKd6JAxx0yAucy7WDGRGEQO629opbYOXynuhbR013IonZBHF2MxOnLIl6ltm4Oz +1yY0bkYtfiLvg4m6yvWztJQvI5UI3ubNga1h5ufPFY7uDJ8D1kcFQHwLLKcRrQTUCqXmPu9sPhST +vmLjvokGqExs2cRYqtDKMFBJcsFvU3tq4Q5BT1wxX98lZvpN3W4lYuY74hFo76mrgCQQlClxsURI +iE7nrQoS54acstOj/EauQw5pyAsMm0FOKinu1+w1kIHKFbLSCEAPTASF/0ix3MxUiuTQyBukyeIf +H6yMD0du6Fmby9h6Eu5c2frePXymo0XLrzMfhETmOKCDItClJb8yB1DapMCMon9rXdgO2C2y/T+I +7kqtDEwOsIDMf0wLLYhPrsbIOVKvspYRq6gdDr2B20kDISm1Po12wJ4v2SCemVWwEl+2UUzSD1L2 +NMgKZRLqGypcQVrbZD3IDYJ7epDym9riGbPBCX1Rw83/Kmw6LcdsAl/2c3CByTCt74hQoKnibD27 +u50EMQeRSoXCsMsfW6rNl3DbpzmSkL4zkAWzctCyLzkbJ6m7kY48sn92CSqwowiqF0Gm1wfScKpo +39GuP8FDs7sJ80EfC5RWBf4zrJ7640IcZF4qWVbKW19VRn2ecg8hKAIp8SFOijYgOUYTgN/DEIIy +avX8ns53wUEeuhnBAKN26BJ7FGfGrmyhD9vkIAxqzhGU/ncNjvrZostKr+T0/XVIicKLegpg8u9L +F8/PXEk2Vm08DYZnLSph+qGCgY6SZtD60WfCg6GgRfa5HQJOdi+riHmUHnHtyl20gXmm3jp7kRSp +iZxGWZlLSRdJUmcft1fUfbbDPzlcNSpWNfWLVpCV1zRyj0z1t7EuY6RqyPN99CQYPbK2pHlfxvBE +dpCWRr/uGxZ7/c3ojhPLo/5zrbn9wRG+LzPgkLuZCtsVR1w0pSM98eX6zrLuNchvWPIIVmJw8gGf +yjxxoL2kt+i8JptGJpqW3wPk1V4BTGlaURDq5MrhI1W0Pu1LwhKrg/yykmRb4j1kWhCdubiBuieZ +QWSUaYPBNrEIdqWBnmzI0QOQrotw9DJv7KumuYHrtzxO/D4qj4n9Xuzu63nSiwNkToLscf/QcGZ1 ++fUbW2RWr6rXbzoDUgYtVc1hahFIeYwkVNqxHx1iieWQ5q3bkHg+k3AazBIWxV6T/zk/arzrOHRB +vOFTdYjfLlP4HrYHIS7kQqcSYxgSkH+0grzEBCXIcROMWFiP2FwmhLl08ycBfpnI3ROsSV9okBss +c54QOozovMQL7YMNw4sldgJyMiHpwyujvsptuIufT96YtZduiKMUksMc/HkPIB+tYajt+vfECKzL +lOHxnOEHDxX4/qeiuNXbBPqHxX0CUKPYyxjUe9du8DSjinYR/g6YyBRIho1YLMCX8ljnJOj4V9vA +2WaQXh5iuKakgN+W4emDexamDV871ElDVlqjLa1Qi7+sHLf/udqVZJZlpOeQ4pniq8uvwqtlQijt +ydEDqwrulbc3H4zGtbGIQFxArdK8g3bX2OOC+p/m4ILWt/V7waaLW3yzW2+vghI7IKR4Y6gJY7Ue +KiJHprDDCRNW3GMW0liP2XOvTiNGYUL+kBYIsoNst9jlCgvjC/V8+A+4zZGz/St2KCkNvy+GGiy4 +r4QO3eSHUpSNnZdbydiZ8+rFVJjEgFVfo8H/0DU7RhqS5e2N7KynHjsowjE0eiZPEUN3KUWP3jEQ +yx+s9ykEGDUlMBL7WwtuRvKMzi8x6eaSphrTvmtXkprEXKleRsC5QaJ+R1kWwPLP/z/4a8zu35PE +9R5woE231HkQ4mMAZgyOi9+E7xQbcZzF/jEPdcUGBU0m7pCGVkealSWoPWKmKw9ptPaTaEtCqYdt +rmt6mTrDXjrdrgxdtHElqnebDBO+2DjGBspiqxMe6r8X9+gUgN94UrPwKk88UyY58yI80ijUS619 +M/xq66dRc0qeB8d9Kc7GdK8vphD8Tt9kNu3zSjq+DML8Vw91cW+ZSZ1AVBUtr0uR4EL/3AEVfePa +dORyEulq7iVjBvxd41BAr4VmzLY1jc8xo09U/Wd5vUr33hRvxi/cmYv+RPBc8lZTpPG/zRukpXy8 +aRakJnGL3RqfmJIjoStxlhn/+q8sDVEYIw96yMlnGdF0ynpLBcWPyDJGfU5bflD5j+51RuSFo3PL +0pZjjfGLdalfWev8XZTkaWVb3+2xAuSg0H98WfWo6/WQJT4/5bczN6hIzxntorw3XH6P9jhHIKtQ +RmTGg5J8sYtPvlJDNYHyC6F2d7wMEL33BBStUlW+BJSAXoLbsjOgmniJaS7ScBK+Efzc7Xw1rDVw +pR9RNftEdHn0k5Y6NaJnyefdE75bvpoxG7nPJUYu3oWjyA6MvsqLGYeHzwTRfssuhhgKmsHqYXeI +1ye3/WV9a0jGfkIzDQVnJ2wgJD4GlMWxo6l9B+Kj+so/kPO1Gyhcn0LWkrUf78NlybSs8WoG0mUA +TYfy9wQdx/5C5qOV8eGkCaRtqpB+3XOQfp1d5VIKgFc3MMZ1PIBepCM70TqQI8GdmSd3Ny0I/UY9 +uFr9L4TJ7a3B5BfKSLBAqOijsYXFrf5NWYjqt2mIAu7tEYT96gleYPfFMbmt5q8nG22kphqkIk4z +WC2MGgma+V10Igpdo7AbW9cKmH38VvmLbU31FCDewjhKB+DBmN/GmfQa1ToN2FJD46QfkYpgF4sx +1Zy6pWIM3YqgeFWk7lhwtUSTRmDo9nhAI8Gasyolyrk4XAvSAc5VOfJ7CaCTPLeQnE+fbwSrz2mp +osf0z6Sbnf50vSQrF1mu1vGnpzKgXRwpAuUbqsO+03RVd3p9aeJguQRpkV365UF0unzDdKdWfVJL +6HRUXqA6Is7Ozu+Oz+JSolNgNf+Hy7faf2Gap+jdV+cNJAKXr/uN71JF2/3ekYGztAHk29nPmVlP +Xs00X8Icrx9oLICUeiTEbVIsQa7SFH2sAny/Bb/ibfirksRQavBd08hrXQdrbPUh+KhxFeKkUUH0 +QVxopedQSFtYP37dG/VrZ70Z8q0ONjvDW1DBhb57W2FjKl/u2Zim/+gspXXP1YDGq63vSXmiMxXY +Qqp7nXLQSz0ZXa7aXt/PRxHEQn68G8INBpkRH34fMeil85JjrYNVX0xhxxKlKtmHXJ0PCH0KISCJ +RJmTqahMeb5w/kMF1H/xdCI4/HyWz/h+6PQg5dt2XtoSTXYLc3hRO/5mfwLjKjaZORn4AzUBsGGa +EG3eNCq8ZrqZ5NrSWoCAZfBnCiUYMQlvhqtDwrZgtjt+3f3IJgpHv1PprHMEIizrHnc0Bn4XaT86 +t07qBZ0Qwh13qOdPJBdn/MwHKEyaFYYYy5qjEb8Oj1HPldYZW3Xrl77U20OtMsPPuJNKbXPtSW8Y +xumFbqoqyMa8wTwEFZI3uZSt5GpQDl7Rf5l4E2WH/9bCrcCa9iR+l5opRjxq25ke90A0TFOAhgsz +AOvboXL5VbvvtFBEpWGDY+1m1+9CANDuDpSB5tEFr7mipzqjrtcASTL844c0YdXl/prLb96L/jpX +086sATKaNjaO3YzD11MumUCAe7gZYt6FIPw7c/bGavD+gARJx/68hFVq75ID9imFjkUQ+/thxDYC +XKuTwX5Cgz64OM3gbfwczsGmHh3dkgVFhnKZ3i3Y65Qf7QorhIkaWFypdZOQm1TmCiS9kqM8nTr3 +fT4p0k1OGt5YJFOK+fyEK7hC8KuYZZANf0Y1GplZjOJmg/n4gTnzkJBt1yXz0gO/D+tzREpdYJOx +r5Q4Nviz75A4JE+7W8klCbQvqSKSi8hScHkeIjLmgmej8i54zQjmCnXVuooyEBtk6pq2hIbASADJ +d1ai85gwkVaDknM7+MlLd0+gGsB6LgAUILlPv0da9XF+rqHk7pV2v30Kp/KlVWx1ijZEJTO8qLRm +a++zgDE/MuBCKF4xvSQn/CITzKHVWauEndEAOIRi1sx7x+oTuY057kPvyG8/YwSn+lYWxyN8uSh7 +b5XRYtCoSMpiyMGQJ+zoBh4FJckamiXUGzpiCzg2GyujB1nEzcUarCV4UqEnok1RQGuEWzpaz79J +yJOqKgr+s1Iq8B+MQ/mgBnjn0TPsaGsjRlNnjmNeGP/1v/pQzDBrgF7+MSCdhz8tF/JhZEA1D2LU +dmf8vcxVwtqDtNZAHwFA8KllZxMSc4C8hueBYmfNL2xygJUgsTNgkoQAP2Ui0bt8InxUCP0n5oKe ++633+29pu2/sTyi+tISEbpOT8IL/+gEa5PjgYUasoy32pa/DF1P/sblCmnsjYTScUcZCDgt/79sw +f9pN9n65O+iS1fy9ddneAL/mkc/Bzyok39Xwx9rYKYB7aOR/lN+qECQ65FAec0nQlyJAKXIXBkFZ +AVKuAB+vsSAo7prGbm4f80jm7AfdAVZV8JwO5e6Dzj1QX8btMkKa/LK4bZNOfQJxHWlHN9j3Px00 +ZDvcwHNMwNxrkcFs16yisl6NgcMt1JW3u9QEhjV03t19nwREtVFW0j3/8bJv+22oj9T5z0n84o5U ++/6nIZvyG73F2LuJWQN6gd5VdG+R8wwTe82c7+VUQ3j1kmkSncHouq3lMD3h1tFsT3BNToim/z0a +ppHl+lRiZ3ilnVC67ro+kYuvWU7H4zbdZz+3YOBwXUmEu51vLuuaTzA5Mt0qSn1k5noW6v1iY+3E ++5aZN7rLRKivYGx6zVCBShxuaYoJoNa/ZXv9jfA0h73Wc4ArFsgGh/D9hkiNiMJrsjzURLpWfXer +EN45e+xMFh0/fFD+RGyb7rOaIONR8AJF6sQqVemnwFHhkiVJemPR9b9TeHJXLUX7lb7f1to0qdn0 +RtkhOxNwT3DGgDPLH8Nzig9HLszgtx92nKKoWKJsUgYX4IY+A8nxTam3wFgolpqRqo5HN7aomo63 +wGAUhf3V+svI6zNEwMaLpLIhrQ7lCcnM5l5Kp32M9ynk1VSlaxTEuwlBYOXzyxZanhpWIsDJ18Cy +IlJLqM2/3GX7nFccnc3t2d4Lt52cwNOd6aePJzB993TAVK9M8VnDO98uBRk5KuGuA9hi93oC0LUl +RERX4j1nmPPgvFF8iILPplvDWv3n9yZpjQmvbJobYhkluAVXf3qMJ0BuXiOHPgFd/ch5LcTx0kql +uWtOliFoBc/Df4wivNGFe4T5XBa5A7PNXL+d4L1c9mBTzvWJzvPs2Er5llhshnAzwvXLlOwE2CBP +WTyovjRKn3Go3BUMyu4TlQW4P2xf2Mc9z7+MpT/FSB1/b3VRvBrJI5LZofa/kA/HgnoTfZrfF53K +piELpCXOI1/OUA6HLaCgvkDyKFhEwxZ2ZAs+WGEXoehmy24u5kOErXPfdQSDsqgTN8uIoPRsv4Rr +9djRdZbOaK/by8Vrea1aURse3sxNqtSy+RWb5iz984ySpKV385kMdSOqCPPzwHk5cDM/HzYr0myB +W71H8MEb+CuHdya1NKlk2bPiRQZXlkt9a5Etq9AZWWwkmPdlyTKsmyx5NsWopIcXQyvu4/2I17hE +r0Okl9bHqqm52QscQRmDeTEirE2JmAuyxRXwY/056QXDHOAfyyR2ycmCpwe90dihaszGR95rfe1+ +7jYyjg8RBxzDbomKN6wqzNJWRP+IwfY68JXGFT9Ie0/qMe/WNZdw+bBSt+59Kxg9ASQhqPDxmLUE +hdcURe6NyrPAvwHpFcU2Zvv7+EeIaAXwptqKRbUh4EsqN+J+bRfEMoZF34VhNFKhbnpK3WtY3MBN +OzwSc2E0j4MqnRh5ZHZ2xAwwVeaUIWkPQmfN/daKJJWGdGTJxUwO2fct4jGrcvn9dTunw8XRgRut +cisc3MGCglmF+/d+tGVk93ezhs09G1cy6Zg/g7HlV0LWma8XGI/Vgfi99VDqZ1uy+eOkmdiVlV0L +wNGPKdAzeTc5rvLtYJigkutCbbloirQD1K0cmvCM06i7XYXZ49t0hCFSJKYcPDvTU6BL1lrHy/Dg +62seKYPJhXyRn+Ed9Gy2FwSvbmmsxbL6UbgaYdi3hpKSzRSDWRERjxffkZk1n3mWFV995Z8DKQ/W +Bt+UcY2vUNCbacpaB9Fx2eMLxODdmHcUSdG4xBb+kbMD+jHGoD5/OQZ+0Ft7vGNTf3kb5hYehaLo +v/spAKj6UmpXU79lsMQjVGBeQoPckzUNjkWF0bi6D1dZIuKFe0PQNPbIsTz9HELOGDA/QtY2MASr +f8If383AEVD2hDzjGyD6N96+ZrE0teLKmRQFJQbgKCgPEmgvxBhQAJMRGPRS4AQ0bYels+LldctS +TzfOsb+mMXCpJ2cqN3oueraU7si88WRuRQxz1YJSPbQoQgSkBwqkZ2frUS270zpEQ8nCeJ3dSfsL +narSGKhoJs1fVX9cObg3I8ekDJvMjDJzVNXGBcBSTWLl/RIHFJAdpP8F1a18rT9Yt6CC+UyT+lXe +sfc0+jVHrnXdrJNOgLHhJd4SIi3jKYf07XB4lpvOgHvSDIyUyCM6TPBHT8s9xNc13v0r/OB4+Va0 +/ITS95loRIL+Gj/ifhjRkMcMJs78Jua7D//vCWo9gga0hYDXbf22Ho4T1jFsUpFZ47VhpH6CK7KF +d7zRIYLSgaicSoSVsUR06xwXBakVc/JmK7/ST9kNXG8MLcxwY3JLAhlIrrgiXreFk0xm+bC5jijL +2roTs6AUKGjnBmssdQpYok9E4rLDvNCRZC4QDvhWQhBjFDNbnb/CN50XIFHA67mYRH5YaqZQNctX +/3jjshEBSDPYbFA7fMukPdSTfS0TN1mhukgu8ErAMyPHEX/WfSWaCVRqhmIrt9EfLp39pOw1Qz1a +eatiUlheOe9oDAnGyXALctmPspO8MCrYTWRZ30nT8nHiprFdNOQuk8cTLxXlhMBmWx5S7uv40dOw +VBSEkUTobHhjtwYiXusqML8GQhIt5WuP4yET8wN0+BbsAEsJJ1RQspBWzmB/KKTGRuOwPCpqGImk +qICPE7imBD3Z7i4aH0dSEHMFFErxjmH08mFE61kQC6TnXQJE4BkUcd5AaKkfX3IGg/s+xN6z77vd +4/rlBAEqr51gJ3IlLR1ylk6b1GcU5zxhz78Lyxne2hJn2Etkof4lOmDP1TjvPXR84QiCI9jGPSCI +qOH0AxVbmVUVZNCtMtCimSiPK+KJsXpNgLAr0J+ov5G8QgZ4y6HlHBO7kxipHSCBskuPmkOMVWpD +u2st+sIDbcKfYQR2ohzJeSOH1Kl6MbaBACr6MaW4oO+ur2LIq+1PlFNn8vGJE3Rk1i9mytYx7D0G +25KHDn+62T//lg1h/7uZPXDhDD+rNRVu5GWldh2BabAtq8gKZE5X9Au5dHPZDctOWvF7CuCUZpZk +jJhHJLi29HmewJcMk/0/DF+Eo9TRYNg8x1QgJtx+an/UWs1Rtfq9u7xK4GjqZDcDEHRXRqVE8J+E +HYyxgzC/nz4Nl1OQfjXZPp5TKKpCN074tVGB+okZ7DA0jQh3rTX2e3o9cfiWNOcW6k3Vfwuecsar +mNv+ghUDrvJyyJoYG5jCw2/5j25MLNHxCfuGDq8/W3yOEsBTDghT/ECEfH+FDlM0qNZ3Ffz/IUYV +PAQ1VtvU2zM8UaRbtVNafp6Dtqo1m5YSE1600FknLVCBdHoKkq3qhh6ziVh+d1vjxEw78VQyFxyO +TqGzwMKcA/WRcyoKbzzYa6DQiawmTZcxynPyj5cpbQvqCnKaM9vo3/Tlvre3Nf1caOS3A/9ihsKT +AlVnzBLoaN0gonC027eJE1nc3//mB/2tnnQEXoDlrXDuoLm8s72J6Yqgzt/wpzd1jhLcokZo8a04 +NZgn6P6vtCICiYtX0L4Dtx1PCMHsYMsypE18MmzU5oRDHpRFO7Ot+8qcsyQxNyKeP0FF2mQhnwL0 +Jz/qh/dKDNe3+Mn5/7s5bLc2fk5X368s1X3n8UhZ8tBgVgXoFJryK4plfINmDUlNiB9p1y/9cpuF +FAFHBrBYq4gYIIcngcNTDc9WNUOh5nyNKf8JoGVE32wWMuxfIaHAc3g0EEW3JkGoYToqWO1K3IR5 +AhAbaGC+gROPneMzhwgz2lch+eQRIkH1KVoeOuXVFwEBJ3EkYfO278J7qzeYr1/D9pbtIc6TjFFQ +1Rq03/R7Ndw4IwBSZbhBngwY9onDvMbxKSF8dg0vhwdxZbZJjb4eYC52t/AUNEQq22ziHIHhFeFM +VT3vA7/kAadcQUId6Yr6pRqCtFfJxgJnHjfIizbO6SW6dsRLe458H1RDQ2FK9+In45d6lO9YZ7Ma +u5FVNk7FddG3ogAeFAj4SbU+dmPO4gmKaklUz+aV9aCJnfS53lF5eyWvs/pxUXIaoLStaiM//IkY +DEwUgRNusR5Jcu8P8UKg2LgPF1oH0C0MRQ7kc3kEzRh2lJx+jOUMNUSyufi9XLix9uqSFmQo/riI +M02tNkLRqMtuPnNihZqs/pmfPX/ZWCMM9AhGyBbREgi7gPSGfx2nF997eITkw9U5P8T84MGne5fS +NNCR0TN0ZDpUhc9OdaI8Mfvz29Q212+wl+xPb28si1zK73WInAu3iAJb5uM1Qy2s4uBXTsjKGjXN +DddqHuKkqVEX7TwqKp91MFSZ2Tc0r8vV9YT+aefyxeRRAwga/JhkzirO0JoLV+5/vRO3nq6wPFTI +j03PryZWKRDR18IigDBYhH8Xw3dQgDVUkdsDFEMqR8X6ay1ol1b8yTM/F8vKyiU+B8fnVdv0rhqc +PoF0sQcskUIrpMFZbAu2p52ElEea9GGieDMzuZoRYtX2ydkzmorrmcNyPkGZl+Mde5q3qaH4jA7K +e7/yFl00n2hGlQ6hEdbduSYlg8wOVt9GI72ORG+ryfo2zqe0yuiaLVj9NeO3jrfJMCsEcdelDAf4 +gOyOEnTpMNOgykw2ZNYYKnZSZMvyFB8Bdyj9OI7xOBnPfasQsA2bOxaifQA9foVpEbtfhjylgTBq +D65DZW+HnFUpt1MHC8xaVLGwsyW0XP/piRNuBryQm5d6Q8JKmBKb9kbxuOyWtnA94e2jjFEdfAwF +XcHek1NR5TrHldBxvqXrXVKuudzV+xSsJPdQBuKeiD97fiWfX8Gc4siR0fDvTRf3sGQZxT4btNSu +clVjeV6JSaZt2jA783mfdt8dlJ7UZhFAeFqO/h4hYj56Yp2+zB+3gnd3F2BaRZUEuSTpHLJSHv1N +nA73WpkD0CVS4IB+0wcPm2gC6NlK15UGkT8udbGjVTPdL6+ZzzoRXhTLZCl+5p4HheOJbvEddJ5h +Pb2nCMqspPvYor1tFmAxVNZ0ULdK3k1a8UtAdOZVfCkMjuj2AONCogBD3vSZ/v1DiWMhGe9EVuy/ +lCgqhEhDy5ngfbKl88wMAQZKxE9v2KAu+VHxOnPt92tDFT4UTjKeF57QskVwej30jneGZ2moGYF+ +67l3TIAz9JyF+kgIbCAmml9QZY3ZaOF1WL1oObrngAiYwovy9rWSNOK2I/YP04ujUTKsxAyI09T6 +Lqm+4MBwYFqc2SaCr1RT8nMp87ue8uoKhDTMJJIt6YEoA8qjoGnJQ8vF5l/E+yNVzh/6Fyh6Mnds +IN4Wl2ltuy930WpA8GTN2Ccda5FtIprLiw8GW0JvwjKio8fWzy0dxNXVM2q3P+7wCrE0l9YzjpyK +cTX/HIEVjnWu2vHG8c7LzOmAfHUAVS6zd68tzoxyjJbFgsuZOfkse01l55pL29LyBT8AGNdCIVmG +Gglv6RXUSVXgK3/MpkfFNtfmyJeV0aRrAPwSDCiu/+xQ7UtID42R+KtEDbhXnWp8jCCU3OSrIWtc +tROYXF8XxbowpqgiZnmdl1e+ISYLfMGWHsdQONWZ7FaHvgo7KBpfZ7XJTNrgZE3z2njBZ9bt06ER +ZtgB06Weo1cIwfKuUAPb7AjCM1fjlPq0/VQ7kRKFxlkyV5KcZuzcUn4u4rGjJGHw+Vxe4PXyk3WV +vUqi32IpMQ94esXYMsMmk0+5J/bZpdtU02zzg0K2/gMArSP8Bg+Zuir/xZ0Gr/lS7rGWh7cyRCDp +iuYgkW8aGY+ArKv42d9GEfJ4Oa0mUsLw0V62vpbjp9LV9w+itbrO8P0bLyBVtwucvWPCqy8os2PM +H7CfqCEhQZFJbsmWWRDVCQAjcqCsnmqFj0aGLXzNCtbeM2zuaXnvg7Wj/mXcVXmbv9YGiYb+NUqN +57uDJvX4QTaAc/GixnbyfiG8Ojk1gH9x5tjuQhz+nNXLRL/dpNxDo0KnbyH23vQQeMDaPgXlr36M +ZaIeO6TiOHXBfkB2SCXmSB6nxNCBhtqBHQxmpvAa5h7YXNqB4RWstT/y9gDnLtbjmjIFlJHTZbod +7OUGNjXxX/bfu/MSWzhZGbHg2rtnCnhZogbXkZ4V3DfiGbnIC5YWwFpP/iVz944OnOvwhZ/pA3v1 +h5VxIAdvAX+orSoW/wiFCY2kEvyb1pHnkxxH9DLh/nccgKxPVKnQHTQaZLHdeBpdt2zVIchFhFTU +a3mRDIP1GKpNiZPEMp2zjfiSsQXDOJY2NccndUkSAKRYT7IkeJrBSOrNov7DzlMV1HvB/5YaDymq +ucwvAVePycDRw8BDXqUbNO7715Kga2bxneWD9vUh/ZpkY/r6NsJdSwspcSlNNlWXRqX64psGNd5v +IpBxPE4ikwUWBnUDclqcMqN9JVwUp0u3H9x0foUZyzWsHFgfozhCxXFFbFezmLJe1c4boH0m3sq2 +GrCkJANRTU95qYgxi08boFSFYoWdULtDfs/fUOQyj+R+0rLQ/d4GF1U76tudpivXnaFej34PS3mo +A/+90xtTkaHUAtV0TY22FhhiXzTdf8+9/9201BNcmwzhPxhpv/YaHhzh2bGSaF46Gi5TUTTilVTm +uswISH1umuDGfEt3Nb93uoxV90uww5+o4Ve/bPYMyC78oFpid6cBoGIWLSMnopkULwV192K0qntI +lGY01QuZNgWg7fmkmgpxqSnkjhPs58Kr7oIZq2y3Vtt5E4bVOLFUw+reWpWH8CKYvI7HXFQ+RTZg +F5Nh1dYySY7RWGHSAEFAMM1+sjY1o2WTrQBjg9L9TxXIaf9EZ3rY08P4omFvlnXCHUIon8SLbxez +uZRftBkNis3b1gXmU13Z1zxirIp7dh4Ky7kl2UDJ2xzxnh3uO9otEcOfPBz5g5NpkVKFYpY5a7HS +c3WCpjBo/rve+Cfy6Q9815O8saW2qmWX6pQ31Q+eGDJ0dsGtUGHA8q3kKwkxIhN+fEy0w0Oh6Awe +0PSQu6VKP7DUf1A40mH4XY3NGu/qCm1bTt28bIThsI9Ge5uusdQPsRFnP+eqmQAwOR1vhVTSYeWA +rLt6eLAfc6rG0KyBxYrOR6Mm/htrnHnFbqsZoeK3hOibF8J6CrDXVR8dMPARRT6l4M3y+fs/LRCZ +t/ESxsfaOdu/qYQ87l3bEFfE1c/96etWcZA31z39W0JMzjNSEjB439mmaB9ZpfLlCASjAjZK8mp9 +qDaXn/9AE99HrRiv5JYn4iIfTKgog+mgseQPTuFExuzQ35bii35CwwWiPwiFJs+1+S3WefYJ9S27 +gHBdh9keZL3xqwLGoPrxqqa1uP+uXMmXadEnrX0wMRIUPuQOY4zt31R7iQ3ElnlUKsQ47ax6B1f9 +M9rMq9T72lBJQvQC0ceoGEqU8NdgI9k/rCiUqH19PzL2ZmBZLUjk0SDSIZ2gDOp2roGFN7vVrPbV +wgT6ElwYB+3hgISfFmVT0NhqhwOwyoRwVAktceIjSSdl656ozhurCOF2qzM4SCEXUcAq0Vdk4b7B +pluMKSE2zhp6MH2eLDnX+p2xZqewrOEjuYLLOEhT6H9Fsbsip9kVbmd6xma8u49i1ashIIQzyka9 +TlJbae6/6VgAb1lPRgUxcMUdX1eQwNdAEooaFfTFv7uVCeJmTSCIVo86D4t1V9UBToN94Oqgy6iy +gfr2GxMzfBJo9I9IhC6hvGXOSZl/+WaiYVsm147M7+EOtmvnQa+/TeejTUzDey6o8tUbn14I5qWf +bIxHtq3SkaAS/HdLfp+iupLk3Zl48SfBfm5CN58xG1o5UIFWAmJlU8e5sJXDBHM2IAP/hDr0sfrK +hJfkTCb8+wCwNi+cZWT82wZtTWlpRrQHxjZTBr6uGTx8Ep37DPfxpCIrMZKRhzsOvMx0GK4/BKNW +Nwz+EmOHIw0osybT+kLRRQ8e9HZEHNgtC7y5zVdcCYGRXgs6D5ixY97WVqsA2rj9+Vp5yAM6L6KV +JR/8ZfAFzlXt7xR24KZCYFMUo6fp42DjJAdPrkbYZPMP+PkCjdgfFJSCmcVnHfv3VbiBwzDQYPKG +nMCVIzpJkE7DDYWxGYWMsSiHFpH2ZS+Ci5QwcwOKAusrT/FxUyFyQWKvtJA8salDkQc1VV4NML1Z +eBOpHr/JnO4+E32dNO4QfBKElC0egfCX2dLpkCZN9Okj0Uo2v9UWu+tiiJ6eSPhMEc+eO2wBF6x1 +JRYnweHTI+FE6A9iYTtj6HGDfDgwPfxxwOBuM+xZJqrCI+NJwvV1DcfY6wiGAPZ5XIdX/rrpE4iJ +hKTJGnMVaRou/XM/fGzrptH6FsinvCoohnqCqAReZONv9x2F0TPB/mAiZnoiV6U/s6BcaxRW3YeE +8nNzPkzXhlNXnBoAc+FWPZCReskAkjSxPf5fq94+bYVoY5DCHh3K7nTjW3ORB70oi41q54jHCrUm +5o0zU4WU3RQvYKk8zLtNx5OvDjyQAWGnemlFghAxjTMa2fJKJK9r9MzWdI1gRUs3EKgJ3dWuSMa2 +8LzexY6XMbXHc/gOY33cBndmdTDyVMY9GXLOvsYRpZTPbqlXOSfCHfW3Yrwe3xR6bMZN9WJsDiJa +enhGibiATwxOiiLjAlQAMHKfCRWILyE8DJ0QqeuRetJPrlyBfY4QH5eRbd4g0zQfG07rBc8Mx6wo +cgwlV2c7zUONtYMtL2WstC3mfF0nD2Sb3bYVKHR6l2h0goIysHo4kyagDm+FU/XEnNY8eGW6u9dQ +erS2x2QuFuTYA7V8Ak61R2qHaQJgAhW3emjJ9jz4h8l1XD6LYSz4z2AgXkUWiSPvhznw8N2jSoPz +wWTYUaARCuKl4WgKzHjMfOELnTRBiDHod9keJvSr4/r0d3qB3J5g+iX0kfbPPYuvLLdt5n2Bc/2W +K0dxJp19BT0UgjECUVHeRGSeUfdo4G0aa4yYls/RDG0VOvvkGbTUCezM/kBLEJe0c4hgc7DbFVxY +GoyqOQ4XY0CKk1pAWj2FBYqJxQujg8cqAnqo8u1/nYR/k+dHWwKziGGTc8KlB2ftYnqKgpcC3Y1o +8EW2JMpqcG/Tl3fsOKvEV5nAkrXkZQUY4WdwgNn+cREAXoS1WFe4lx08jSMPnS7FfshuPmDq7Jr/ +x+4zcGyj9uxG2eQvtPca2W8U0SJZl2vDmac+ZaF2fNgs3v3Z18F8OrE+N0bwzFuZ5Cf9EgzJtSK3 +Mh3RbpSWjSpiP/Cr2ZQ6s0ATbJPnbE35pLTZ/9W+X0Jqbc5Q0AHwQZ5COMilU4RB1Yp39wVtylX+ +QO6bpxe9pRwTgMsk1MlXI1uh/Y0p2WBDio6BzuZ0Ve+WLo0kSJhcvB+ThJYX01dW2kKQCnxgs22D +PIqfoRgslVaAwtZvGXdOFZfCd+nl6q1n/ngZ4L9Y60amjFfo/aLQ2V8MMIwRL6FTVJW2Q4LrMgv8 +nC9e1TAxuVXl3SSplqHN7w9ivn73jIMeEWsAx+4b4Vin/iMOGinL2XtUqxvy3hD9P6/k69NakMRO +waFLLGLW+TVdranlI39mroTNhJaDgcgq470LaKYrflyDHTig1zOgyf1wCy1z/XBoeGp3Yaur4WZ8 +lLykwQQvEb3IJKrlXxvBKyj2mc681egprslC859J7EAuhjwVCDHmLqORZWd9Af5nJkdf1oQTqWjo +kxx5TYFviEkF+a2mF08sn+5xbKVu8snLbWN2BNQb/IueVlKBBXd61i+4zJodkoh09HnrkGTG5mWi +s9U3Jcxo4w5g5PWcS+38rjr5cgo5z6u9mGin0RvmlOy0ParCbHbsuYQYON2/cPEd+q7RmPzrHXNI +eGZjhjoLa0JluIfR1iVBqaaaJnLbaHv9QCakps/yjCoYrn1D5lBW/T0nJd+pUGGXQNWwXDFUSxnH +/bWKnIbgKLjvRw7B3WWpSxJGwpO2xVCzx10rYCNjV/0HlKN4c/KZHPjvz6OYDi4Nt7cn/sbjSXZd +gPVrasdkdSOa1kZrtchOLW91aqnHDlSyav3x3H9zfnqYLTwbLxsiRRIw3EfQdouBFsTau4mtVYZF +yVp3X0EPkzJExTqOyay1K7hgoR/2+6F+HsdY/ZXuY84HcgiGBqwECGtVXPeD/SBd5trSfrYBNNa1 +R93t8UMe7yALMUavh2bPz7/RkHDXgbNuty18IH1Zbu7XEWtWsJmpNP90eTIhX1Kx17Y80eRzEjze +vZsz/EC3uCXLl/L3Z6fqzN0PX/bGzAGJ+wPBSdMQpVt9uRbCHtYHJ70jKfaui0+1zhRfXEQm4hMp +3axvWqVvqPNnuwPpd08iHi62TGY7y2k9gydzxtjXAxubSMZX0GemtgRj7zVUiY0jKy6TVBgflSjj +9D4kbKdKvLWKKHR97zjJbIHEVEmt757pf7hO72NK28zzjztxJdh6yt0pXZEs9UuuSVazuaQ9HjU7 +OcRnQ0eUtpUe6PjJsJ9dDtX62RDg41wlQgDPlMvi1sR5rZx7eOphv2VYGZBE1NpRfk/mePh0NMTs +9OuL27k6SV1Wvutz4melDQ6QLsABSXMpmQEoB32iCwq3CmqNcuzyOHhjt6v0iFr8ZWR6AvIlqXnS +MheBZh8fMzndugU4Gz4Mejwhd546p8IOmhbXs8Hq5xMVP7rJQklbQvoQhhKjjkgZcnV764IfXWqV +CgDFrOfDQGYBoymslO1/x6c+rmw5tpIA6y92DKm6mBJP1wHsnIbjdB2E/ASeS7s3QKlDQIzgm8a5 +EXWTZMoyd3Kh2oUx6dZnJDT79iiE7yPjOZUqywveTDQdeueAHSPzdlsWJ8V+QdyvqoFRIm3/sHrn +scAnOXWQhe20j6O9lZFHm5D+hs6r54U/SO+j2Dozsdr+HUj/KH3mweE9AuRrAd+scTCWedIjHRzV +OKx+fG18PhPDRQ3lGJckXdFIS2+FHv0QNO0IbSorsBmNNyfnPZ50cLtrTVwndl4E5pQvyVxx++Mz +GUmxwNFIJDQ45CR0zbjrKfvUF9YeGB5CsaQ3Rq1FV+RfnngmeEQhKVpCkdACasOoPjoZ0EU840RI +wE0cEkpTXVPtu81t+dLSLzQmr2UnsEeVIfu5pSMFEJZMKVMP4zuY4Q/roQeVK2IlhUc5meEIdZAW +V9hPNSXeDrNrn8ZMv742muyXPk6IUlU3xPC+pSJ84lFL6zTJtqwK1LxFCY33TcmgpRxTJIiUtc5R +RbmAKVWWVHz0onAzwcX/SJDD9HjWWgLbYD10e/rUbfQNYQWPHE82ozyYV6aA8qo8h3isjwxZOxSh +Scuh29dxSNFupJofknqyI1aeG/FnI9GBUSsDIpMILpS4QutoU8fZcFMIg5jkkP9oLTPs3s9AtGj0 +7Rb+bJeaQCwpkQ1V0wSYxHdXRIBVeRZHRjkl6sXZzYphQx6jzlEJ7FaZ+INB5PuJFJlHjik+71Jp +HTIcDCnH5hzq0j+W56mHqPgAv14iQDJDsZUsnlrUaq3jx0gn+dRnwWZhiUfWWmwDy3wOUpVuYbLw +rRTFvte2TRvOWr5o5WocPVBZiDTJVoPwD/I+lFcHnm72V6fc/Ag10/5AS+SUuEaEjhREUkErJRAH +kFdSNTCKJH6PBUTmAIbzoK8m99TdWuHoklRJv6GQ0XZKcS9woVm/BA2AEwhsFtkGVYvqaNXqE/dX +QPqVjfatQl5UCQcpo/prv7wI5kq7g4E3mw53jYNiD8xC0fg/GWPe5QfvAStwdNVPTUvC1DzEjlGP +9XPm88bT6RO7Okp0jR26SFV2V0aMZgXXUxLjJMZZLmcPDSAPLWmUjwRBEt3xr2xNBm0aZG+WI2bT +ndH3//PYxMss5g71p8IZq/w7pnTRnj0uMGej1YT8WRYBHPTF83fRHOQUsdE1dU9z2RcggZ1qJupm +PSk8r8kZftT/xnW0JXG7hPdaNAktMAzKNDq3udL4PHfp90bXq8s0TXYhaUOVan0TyXSlPZZq7Yvo +w/IDT4hku68CckV02G9KwUBy8/IVz5VAxp4hdUWQorC1QpBT+PCeASMbIb8O55Wh4uAYjiEK8DEr +dPegw9PxnRK6K7W8oyQMf7h3HoZCoOzLaOsrbIZbHA+JWeTyuZlkTfjcia5u8XNHPl7X7e9YHkOv +yXT5pLA2XUXSyJ3vfseuQJu2mPAWaAFit0Uu5xhiOXqklcn1eWFKHgD/mzJfonQCMyx4c8Er/u7A +ECS/8npEsINKRPylzPngC6u4HlonuYdP55Sa+ID8tRw9nYa7sDOQ0JMlrr4MsgPHFdZpR/80zipI +rNKKA+hE1qb0sopWFSpXrUBg3afbeBi4NTMu4OTxGvgV5qAkY8kJJtDIF3PMrtc+yyZFurgezZ9f +l3RMKzvhDXUL7Nv/L9fFZhyila4KDmbfOL71lKtTwEuLjr8378drwHnyhUPUSx6bLBxuVrEmQzpS +ABGLd8xyCT5SPVTjdRHQYuInSYYoW4Qf4+fK6cL2Hx6fDdArDW4iXAV0+anYADTyPXtzWCatDUTb +i9q1GcL5YEIUpotPWn/I+RhIUCMna8sq/CbRddfyVrQmnZiE8IDole4ttdr1vhncUbsHu8zzIP72 +L5wD72a8D38Q1wkRBzJSMyyZwRabiSIjW3NPTGFVfRMIvN+t4aI/u0TnhyrHwXYP+aoUjk44kLTz +CJlJlCxuSYCcR10JU/XsB2ArWg3+WUsXUnqv730oOj0DIkLL+w3k7juxnEpmtugU6sZR5eWncH+G +4IdDXSAHmhW6HUm5zBbqPQ48/nbTYBKK3o32TfcQWQnwZUa0qvnh8UJW/fYQMvd77WA+9O7258kx +1VqSbn8f5Yb+Nx6bJugXvVs4dsO/cfY2VCmP2JIimzO0mTpO5hRRMLAk2YfIGP1hkvEusoRmwHRE +s+oAOxUcXYzrJsL7q9hE19Ojo3eJ9CAusX+oNTRAirUb/7j+F8/qPtexf/N2Nv0B9Sz6C5K8z9R2 +K2XU4AxMj3j6SRkXGRGVHCFPA8Ih3zIykZBDsze+FfmhUtf9mx+wsTXRkwQ8IMnHJ3RIHxXmbt/3 +FsMDdBJ6Ib8GfSHfRDkdoNSIL47m/Yg1h0bBWCUGMKFnEGl2/idJWmH9Z8pKZHBgldR/YLuWJq07 +rUIaJPJQq8p6Uv7a8cSNwZ2z3bRBMmztLtkuve8MYB3GRo894cXfN9ifeiEVWjNLgmO1qyl0gtjs +spdvSXINlhzQWFK7KfBn24uXzDgyf1v8/eDoQh3f7UfUnJuT4gxTnMbJv/EyGKWeD0lYEvzwHKoA +hodCUFmNqwejGPp6Wuhe6o724FV9BUY9tOfQoSSndrGiU4NLIhODY87YfzN9T2+SMd0vbhc2Rpxv +KeIr44A71wvk9qaxsDFUEU5dky4+3Ga8YA1iO8XgtJEE9KslGzuSpV9FBQlAXATSAvH/v1yM0kaZ +nnu0mHaYsTxoEEsr85Nf2zLZnMcWtxkkECMbLzHnG1yqtd1dPNKSy1UDyc4A8U2UwLPXw8A60taN +CYQ+DOKtnV4IvyxDY2MkqPRfZcnWPsza8JqE8t0owtxFcjzStewgUc6izcdVE0xnRhS8H5fxbprC +W/Uwa9qu7LONC4czS6rm2c11rLNafyRL7BMtWOmRh7rWhoKEomRmHtVJx4uuQhYm3uyw+uNgu+Vl +n/kYGq3o4/1VVlmoEYIIGWkHf96qedEQ7DL2lHTC72FSO87IeOAVckjtr6tI8YGfBcOHeAw3uuyP +Ke015P5ryk77HTNPi48YTqe3nbBRx/ZNhSJooJQ23ftSPw/prUZcT4z8TKl8kzHewXkK1/LAZp4I +IXeXOFWgPPoeWJhoJ2lqWj+YbgtYyE/CsNzyuU4hJW2gjeyH0odD3crr/4gQfpsvY5AApWo930HT +8LV4TGr2aImNWlB74FKci9BVFipM1qi6/I60p02kW4/q6T/WogGpylkLC2r6M/lhBoLwKDnhHyeW +ribrAdV5xHBkvtdWZ9prIX6QInjodwF0ZkJQ4h1QlEIRSTJljGpshgjJ0P2AbEb+JHRugBvqQ+Um +XQ2D0v4J4kVVxIcNNdnExueNOrDnHiQTfBIitBOMcUMcGHSnOarHHZWkL2dGbGMHmdBRqX1bhVf8 +7DTWs0RaiYAoBHF1demrqOKQ05AYdgtdpM/KEeoRVZDhvlJPK8O96WBYU7a5iw55oh9U6wD9XB8o +S1oYPFWTyEdRbu2Pp350beChjX4TzlofovCYkd+cuDaJOPZbZZBVxGJ79vNtQG2Wi22jE2Zt0sLU +TSTDH2aEkohIQC+M8GS58IALYrSHVH0c/NoJmt2doVjtOptHO6FFeNOvW13IOfB3u73saBZh7zYz +mKBBrWneVQwFtGpwQltGdOyO51WaqAjOIPXj8RzetGAqe2kdKZkEjQDlDToa9gzShBe5zNxButhU +IiqpOASHB+rhQe8SRf7lh+5kOEsYumP40/lHCoQBrZpSjfPtPdLmYqvEDDrjKTbxip/G4B/wBYjp +LOv0x4AfqAuZgZT86dyU9ESMhvZbZN2+GCy4zPptKbctDEtJgNWCvTMiQWuxqzSIeVTEPgWyCd/9 +Wdz5rwThlFhnnAiJxKLGVbm9r6JJwD4pP7r7cG7rGLVYBUQrxSiRLLcWMebfa6vyq3IgkdW/037r +1CG1fd2xc+0Vz7z/PGRZ8IP3erEDl5vUZxuUL1TU6k3Lx13tN91/xSshBTVQl0b89M8h+8gEn+mB +7WX3e+nymLPmjFkdyrPHAeKYIHKx0y6N1nM108nJc+NmhAxL1MlsNJ04bqSqWzdqTaswBUAQm2Ad +3/jqQTw03Q0vTwm04qMFnjRH0WJRkInjtGgHgxrOFNz/4A7SRfkFwvqJDAqSY11xUGOIIl70OYXL +DdRa6zFj2peK9xilvG5jtf2TWVw0WmfdLj9+x5jAS5LuBchpR8RRJPhqmsYccSHK+CrofXNnsoJe +mqd7C04GMYVhnnRf9fRsEkcV0rgyoaW/O290BOr9GSCOmXjqI3/Hfmr3yCeqfWmG3lmxa8zI/6iQ +PzG9zrxCfiQJugwS6jOLbJw+gZ+vdVrQbcFvHqb2aHVvkHa9F7qxJb6xKwFFdEkOu1LwjbGjQVmM +jKga6Y43MXZjhySkQ+qPLGiHZEe4SRKmVpkzrgHs3bgYIVn417GjKW9Lec66+cHYw/7bQn5ABCzS +QHeahdkMSZ8Qm63MB/8mstvUZ75X8KqBRXn5xCXUkYHAc9/L81JQdXPjInVRx2kl+NnUTpOn0MvO +MWWh3+DFyRqZczFiu12DCt7FdPVlCQRbwnmjVk5uSspuB/lDDnhOjyo/oOVfy04LM8b7RhOOmR0L +TNCaP7R5ZXcMDOTBPbf3Xqj1O7+OOl7CjQnMOqs7oLzI0iL9W6CqrYbsTP+eV4+mxD37Gdx25f9K +ALh5Hu6U4JgTTCbt0FKbzGCFd9gx5w/fXFBIBK8oIRJm5JWYCROWdciObPIGXpqn23XsCRY4b46x +08uecDcpmpuW0v6W9Chun5F3dIQVXc4unobIZZ2VlAgtm1dHgcYspKftG1DVVNy/1QNH8ZaiS79w +PoKCdjUnsW1ZxDJunuQMdZnWGt5rNLAdKP+YvaJaHMGqauo5zbW8eYHl1t7YYt/VN5n6AIa1uIXD +zCShDL+EPnxop/eKJ25uLRUqBRWtVQUy9xSwT1ABajsk3foJQpZLwZTLmJ0a2fzrcN4KR7g+AZCe +v11O1hT36zjMpAZx+6cBJVksrfIgG98ccEKs/tUyBYBuUYGy9vSgOs4pEIyNfkyMn+GIjpaHS13l +7uidzYe6xB8pvamF7EL8S6nGq1LL7txYLuVzdtTiL3zobR1yfaQOVJ9b+FziDUrTWUcyfYcJMtGs +qehdAhgZ1bQ89nEYDiavYkRBvDknFZQiriugxPh3YW5OpbJIn6Hg5NSrNLuROSKytU1AYgiSFt1r +wtvZGWlzU2iaRRKH9+TIF84tDJUOPCwB1F/dRzhcnRa87oc28uTeTirc470dWSBkMM6Zs9ANulOo +UtIFxn/48I1MnJClRlmeSGpoCiM+xuqQlN+Sinf+eI+Oqo8FaZx+GjE+OFVQ+e4sDCk8EfvvLBsp +O5pXdzXL6rD38YCIC5CQrp+iRCeZ7mNW9shQ7nHXam0CWZlJOMAQ5UePBVeyM/8KUOXNc43ASsyD +5mBcXHEHWhjqlS2CPLWHV1m2OMW3v1XQEWz4nRbUYC9DKSj5W+au1Jk9U/HYAj0B9SZE9FU414L8 +gcmQHYB051DhgZBYMwCk3jcGh8uIpEpFBzoPLoiZTw5ImuTpSzUkLnQt6yTYRjR7B7ibUKt9T2aK +2QRTHPQe5RUMu87YL8b9CAYH5EQl+emsOcPYyl7shCU1emFKquzGUJdCHLtSnsVXQAcLiBG30U9c +e6OQujN59N2THUEr8M15h0yYZL1oy/hdVUpvEp4sLM7lbxtZA6ALP5bARwEkBfpAut9rG7LG32M/ +RoHfWOYAV+HDqf/6l0MJypAqFGB1zhbaYb6XFBfq6Gqh8/rVJdCepleOd1fUBpdZ1Uq2+AspDSjx +TSyH5N9roe/KBfVxXRsnQCb8aS/23fysODKMwf5OU7ysB86+i4j9KbHMo2szYn+N14Jw8NBw8QFp +shtoruLIv0JjOMfHhJewt01cqrVrw5EhxGo5RxDZUptdGe7AZrapBAzjbkKuCZtpATrf1wTipFcY +1sIP8tOB3WhKAqvLP71GXFe1QU9Uhsxy56tea7qU82gRX2QEUG7bA1y1m1t02ibFR2mmr17+T53D +ODMwvx12H9LOPNRN25QHyyaEeelw0qBnL7y8VNV3i3TlJ4DqSEp9tcL9NK2LiiCw7QrhiEJbrUfN +ZSUiGmTDCV1HK6tPNB4JrQ0BXyqZxD/7yQV1XqKh3gV/MFWS782bsArrJb80/pkh6kbPG+xH5Ao9 +FjNpNIHsG2E1ZrFzk6O617KhAQ3hM9mlDqeuXX8JHUhBUDQSnYkw/jn56tigSgPOoOuAUd9ZPZu1 +asATJ3vWWBtwW/hPdsh+yNFxtPgPZ6FjAPi9NqWRbwkGV9US2RO7Ah/Y+BYHzKJ+4DoHRphcqEZt +TPZHPi77o1KIBH6n9QStzmkWjwKBH/MyMK3PQ+rS/aYGwlwRs/2Mhb96no2ybAIm2K5ubQJuuPZ6 ++H2T+X3YlUdSMHsy8C8eqOuPmrphEksyapjRduio1wGEZzPJWfomucr28rqwG0AdaQ01eBmqan4k +lxvWB2/iH8Vu/F4jvXdCf6cETuS0S3MjK40Exu+IZJItbp2o1txkX+0DR4/I+O0nmSIdVHh9PsUB +emHp/KREvhQlp4iuAf4cFMx2KHtXh/PwEx4bkKBtRtR1Z9g1cq0xJlIjSqM7ls+lYxnfJDZqxgtS +PeJeCQdCuc+qyLcxmtu6OO3IAEm1c4DYnVhOOZDNgVTrp2pvcdMI7RPnelWLkZBEZvz07O5UrmXO +NqFe5Xb+AQ9gW7g/+Dgl85KfWVFilWeIyy0C3t8L2h+OswXQRMTtpXUZFnMKZp9ygdS/t3ooadJq +/ND2fhRk1qUxs/bMCD6fhLGhPzp+Wb0TlzetjEOEKr6KjgazOjchWkjECJXoz4DO1w6o+u7cULN1 +9dJIW497tg2lkbAqElKFolmLQ3tvBpPUaQU6q6x1193uicqMxx3fO+ir4u4niB2iQnlxBPams7SC +SEpTOzCTOEki9/O4EJnDYb3tsYYURllzDQritwkpUN0t5PYv/fcupSNsCX32Y7sQNHoVY0drSvwl +iXc6DQtwnhlJNG3J9Nmg2tY9l1WV6NVNHy7k+n3s25PaUM5XDtazgwfSs6M+eKYiWPgpZWIa8fn7 +aHnTN7gTfJWTYqgPZRIz9jCZh+cMx+cm98c4gy1aijrckUi1AHhbVNnj2g6BO96CehRhZyu6wSXo +GOAsTjStRZtVl0pmjf2gRu7h3bXNji6Q4QV13Y1A0rs0vcwcdDlOSRPgIdDrHw2ltvur6C/a9JwC +xQbCS3oKSg3F0f486DaLohzUmubo5odyQR/gcIBS815kZBRfERqXDwh0RQZ6bn2EVv1Fw8P9rw6w +lsc8bdXq7VaSy928Vq0waRSBgvlo5cZ3L/cWAhT5AjBsLDfpPoumGL5GoFLvo+mqTFy3Xq8d3MPv +ve+UD7RjSLF8+0LGtMVIUZMzFwXnWIGSpUA4D2n5cJJl2Q7AVo+Ye1IaF7AhgVUVgMmKIS/kQijS +BiCWzo83Oe6aD9dYHzIHtQA+4Gx6E/q8v3D6hS+xjUGKCitOC5kCblBVN93Nt+uVQ9hpLgpLqMus +rLwAwuWD7v+9IFOWtAj2V7rL0IoGW678CmcgHiAbd0EGp8gtkpvR4Etgrua/b4tODZuK0oilAByK +JWqwKwUeB+VWOE44/bcMoAu/dZZQGB9nDwM049D526RM7SFtSHhldwFB5KW9AxBg63QQMzUGYhWC +LMjud5NJQ5jW1tz2SzE8dZua1Lh/Ft6EZ+ObiXBC3iKwfjXJ9fxNG2g0uT+4h3CekH3oVe2qzvk1 +4vW0sfFWWmuKP1C06IBtiyiqzeyx7nKSr4OfRzsn3z7HK9VW5pYm1aXwqXKdKzVwwwxqUA2xnVew +JDcCJ/ueePnNBH+EsHEsdgK70qwxnkv83nPVTHCf+UVhUrUZFvz3TMlJoT+vKmRx2Sq1qcA7PK4w +KrGORhH5p88WknhUI2LdO0qld1AnoMc2VZrHTzai3/HATyh4SM5TLZLixWm0Fx2YIa5To5UJlXTE +f3nJfYVXbhDMpb1hEklg7KjDsBAkZkQNeW3z6MA+AymyITuT8kUVUBMxOiP8gcHGE8NvOGlsIHRF +pRWiBO5mE+Jv3VP2nGigl5FcqdTzspQfK339AiPIQLpGS62OyoY+6FKDwIgbjTl7gKYJe98Ug6QO +Wuiqo835JJBB9iK7uj94DDj4gtj/mZkhu6AAvw6yaEi99sOJYhA7imvExHJLEtl6vZENVJlsR1Sd +gJDs6d1TiangiOR8Sm0Jpk5syUUZ0wEdrhkQzxsl44Q6YswmtLHwhy/oVoz3+/HrluXKff16UJNN ++duzu9+8qocgtR/fG2WoEX9bX6V60R7PXWMHJKutnEiAgdpdaIQ/3fUdtUEwwnGmeAbLOCY3+cZE +g7flxlJC8zMEsE6q62I3aOLaTP7ki6BQrt5Vl7sGj23hSPT7RCjIRY0stuMYEoorhagWaQy/ktLS +s6hFmleOgkCPtx683coxGSVuwbSLn7+x6FYm0fW5KiO9wEdBayr4nUYmtzc8E3Hwzh0pfTbZwLEC +oT9844uvRaRZEhJb3RdX3uUWkIpr4B1ucKrBd1YzddFBxnPmztDNqstg5LC9zdxAGPMqC4NILJuJ +pwoq7yvFzXChnqLXOFdPzBY2tfoeYbOMR7IG2jjBTtMHlcGNmZKd//tygIsKYRdh/Ma0cek3ay3u +vUI+AuDLdiKwW0Wn8Lg0BWiWxIOHwJ5nrDbla36PETwLsHyIAcYqThdFF5z23jc6nyfAQX+sTLJ/ +sgon00tBvb+TL5+CV8NZz72cSi051MDd+LIqleQsJOQOIljQx5R6ZBF1vrBTEHf4N/A0vlJNbtPk +ptMn+PR4kyQzmlYsFmnz7acPxcNzJPPFF46EYCSDw8O3X1oyb+0daIi0tztPTJj/QCIWeyHGLPNI +mKbkwmoQU43IHZ5RkzT+krPHD73V9sYyB/Fq58FrJDMle/W93noNL5WE6Xilr27Kxl9ErIk9q+XB +zBfcJ5IUgh67zszB+MOPL8gVhvWDNx49XqcWRgKd4Ff8+v6k/YlcfF+ia5L5oqE4AU2iu3cm86cf +ViS9X9R+E22z0TwZRag2f4hGyAeXYMhbNPeNhON23FrLVSLBNs35b1i7GiFKoN2tuQTbsBVKgMYo +hgariAC+OsM7CV6tUUg0FTwyJ/gBo2cL9a8/YPNqI172IzEhwzvRH0IDSpv8Xv8HxOdrg3qiKI30 +QptW36LIx9/XCbyugi8jjDJs2w01mV9ieIDuRr+crHIq9m+kXKgjFcuZv1Ri0e2EotqsGk/Pymif +9KtxBbNyEH3Qt4Ftt10mewWq/IkHSvnCSYVc7pPUyoaznlZI5TqLIurZbwZsfqXXRLlyaScbsmZY +2FVSOLAVqEeLyVe56QhFqvxLo9U141932k3UFpuJVRCpg+W3Rt0IkdmiDfgSzgdhM0Jy9Tvssphf +p/PJgauzrNWgrWhV7ip4Emsf78hPRL3YSJrh4tdP0DK/+KDDERUMC+AlTCd0d6P/0z339GYroq9A +jbtoyOjOKToOdiM86Sk+NlzDAkqrc/iAWhYvy3/FaVbf+zAW/umBugkmcbUm7s8rKe2wiMonPrXS +6r+48Ba6keTDifBFH7s9kdSRk2ECr3lbhhG9epQC1Kjr9GQd/zcCxGSiFDpS9jZGeI04PrrXf4h4 +PaOai56ioH/JFzjsO3GkIgFL37akU1+osPdCztQSX0Uu3ueNyTMBMmPKGr0HT7AjLp4um16l/iFL +jAvBQAq25plXuX9YO8ACulVu0DQYptmjoy4fA6jTEpAVyyGQ0tt89cFVKrSn43hXoSZOGeF6u8Bs +tZJTrSW0xZlX8FD3Hd7RNzdU5fKu8yc4pO+cw8wyoDYjycjPEZWQqeDuJGxIdhwTnrpbryRAPZ+7 +sgwyitv+Z8eMsE3wlopTt8wa0icDeIFD4fPE9SgerJSy6Nsh0coAjQz+gBs3sniXvc3m1GpB/tm4 +NIjEcN8juzGUwWh1H6/WQB/KjzxHYA1sU4ndG0tZ/z3pflqSRjiuSdJcv7HNflAS+qf8ETvB6TZr +SayMTPdHjFpKEtxgswr/FbPb7Wfh896kalYEX3IB22MLfg/3sRvRCTGmV6JwckRVwQn5WB/4nDLb +YfWRFOM2EPSAUw/YXYPMEcMFO9QcKzhra4QESMhdiYBnZEyrN1XSy0UbKLVIj17ZevYGKX7iU9IP +50wLS3qimwNpgjIe9gaMIOzoIss+SyBK2kgc7Rq8WZrQPNb4C5exqaRI68WpHJ95EBG3kWXrVewf +VSQ6Bw6j0aOkDKRtL/lsz1gtQT4DFx2k4cr3kOuf/mO6IyGUvv7Nq9DnGBKQihDMe1PCPGS7Ih6j +aM03U4QAAAxZA4LI55aMpssfzQrJJmun+qx9nIXnGiHCKsDCfQNkc9Ay4+Zssb2PYxb4BKNt662b +WqKPRi27uLVy5cYL9lRS8mBKWcwo/HWOIwT+60wBoSdmZ4zHOY8EmITRhMS34c9/DpM5yK7Yjy2k +CrD3zxo0YTZzGEOPKXCmPVdyfbMPcxIcGF0XU5+jH/QrCpqL54F5468cPoXJ98K9PxMp/7u/4ygA +VBsjI100piBUeD4bxlqF6QPsfQFz9vMHHieVyvXg4ygRy6vOGW231HcXwYX8SOzPjO7w8ofVKHic +X16VUT2/kI/ZPwZDFpCxU5dCUFFzk5facXMsFUnehCCNmDeyg7HZwxABZ7+jT1VeTxcuGhynUvPs +v3bDEOwHwRg6DO1i7shaSq0nnuxdvopa2WqPJLP4PqK+7M5J9LeszR8On3rbiZIPlVCJcK1f73PK +mNfxshmPlptRzHGw7EiscY4Rm1M7W9kox6Oet93BjTZf2w64kSByAnUugfFgrTSDfW2c1nndABfm +GnLCNyJP11LjL8RZ40HOR131gNjzl3hM1zm8EYpJtleg0dmDLjqxweFoROyFO13IEzctdcFxVas4 +ne4bPZI5BZHNUdvQtyYcSXjo7ngEfUkZPmElxliKUk1u93F3DHes8Xt6NKDGMWc15YEk4qo0EZKt +iomW7eDuNOJDLxcVaJi1ZGuO7rO+qJDqdXtmJVF+4+5MOZ7bHs+WqF/qDCASYtSEuT/CvzTGLIxF +EVlK2IkYnV6r6joZnjL4hDPwFdFTCmOqaVNtNKmot5Q8ljN3YWmjnOdU6JV6VdA9JlxGR+qOQHP+ +vtW2GkB42YJBZ2IoTI2OjCBAnC89jRMmzhQpMY9Lmz9PXMr62fKEUa3xAidwbJjs3irSIdoXzZm3 +ZUwvNQxd1cHfawQZfqbCW3Pcf5P0xX58DdPe3jyy5zJBur0ix9FtFTOFJjx0sUH/0WtZ9dHrA2x9 +jWngQCmYwHXEL+e3geOQTElkgT2HGY1eJ2g3IcoFBNp+vCXAHWnhHNEMWW1ZlJPdxyFIwXoXBwlo +k7aJlrbliKL0AqHh5zTouydvBPFOANoSXdmjZFHinTpIxH2iql8piwhHRmR58NrHDEhXX13eQ8ft +un1nHghp1Cxd5wy0iOafDoeOHuNQxwzOlwS0kmfuid+Ke/rG+Gxp8P9J9MiSQrXOGbSmZ6hDFyCn +/ZTcAv+L46AZigk5y/QfSA4tgGt2om0wDUJsUCiBoXFOB2UE83KtXWV9l3TTb5zKKUIm/+aweYMj +WHfasfGab9JX3hM0d4ezvyKgYBtNcpp4gOVbI5WkfzcRcFnem82nhsF6XinL4r3gagE7lzsZOOwX +zgWgoDFXgH9FHaoJSBU7rEbJBOcdolgtlKXGzoi+PIHo3UXAPxuKngXVwNFubuvLmE1sFBlUW4Y3 +tTfKwxb+E6Zy8Ye+V7+uQh74Z31DDMua4N221LK776/r8nALRNeSqC9LVW2qJv43krSvGcWfAACI +jtLu2fUs6Ege0EQylxiof/EhbQQ/HslsFq3Hup0zPrfDzplToqQkjUK1BOkIaTZ/dc00t7BfjkFw +g5DlKvLIgctiUm++HE8jQb96wcET8gkLP0aO8u0GV3+k/53OL3QumEmvLJZvb7aJ6tsrwkmD5Tzf +XokgJheO7hC9mpHoqDSTRq+HHD+t6rEZdZtjBvBHn1EYALRu7kLu3L3o+mzdPOinGwEp6rq2niZQ +8UG2c2UuB7/IbtMguu0bgZJpkFi5wzAxMca0JtHZZtMf1XgC94EeBgCgME/ydJFZ0oq9bv06YTJ+ +QLTingHGExPzAFMbovhbWTDgVcwW8WcVqb+LQM9gFi8iIo7oeZF8X8yCJg+G1eEGeMFVxSgTjwPz +h/Q1cctoiiVpWQd8su5OXanCQmBUgQEHkbzBIw821i4T1c6xw0NCZn9ckcrhdFFRLx4QgWaQQoeI +PaUaO4mhwD9g2y3iwQf5ORt/cv7pmkAPnuzUqcGpHTcDYY7PZbl2HzIp2+KNp4y0mbfI6yyPnY1P +v+fFs9TRfa9HjUjMbHxfVpG7IlbAzWNkSEhHvtTHsF47ceo4yrvZInZIzxnWpXIEAhhrThW8BTEZ +rBtby+hdb7Zii5wEJneC6sqBPSus1lR5RGhVwV2SEu43Gd++KG8xRx/4cjX3+IqORfAhB9os8zew +05cMSb4Z3mW2qWQDgts6xy8gKFwLpzsYxGf/4IpZFstrHPBNwJGbXOGU5CE1C3HSaI59l4IQEx98 +tikYqa+r8Cx/AlVHECbPA4b8ZaSBJyTP6hlLzrd6CDe9GAUK0nK+D8rqwYN8sqhO/ct9MwmE9NUc +tTUhWOsB6+MvGR1hMMDmj3CfwwEgpoe+4w0h3s1fH3bXImDGkGoBJiFB9bvdv/kDbKwytPImL+Jp +oAIYYcmyBnwWn+yEJ8xZwU66l0xdM4DmwXh8C7SN25lfoiseAdGL5FcI/NzX2qZ50JOYDjSBDqqp +wXxbT0ocpOeIAnsnc8dTMbsAoCZY7IENcO2NAGMabdNyxePoBNVznt1K2ho82Z+Qi0o2PlS98v8j +qTl2d44dmlS3ow6V1GeTi5ms94nQ6NQKHy0AltWnAgPVC6P/OHREjj9mAcEypKV2n8PIO08q0bZM +Ze1Pixzkal/MXC61vemLWscF6YUIV1AAE/E5gGcgbWvjn9pv7oOiIvcuqPk2nOy4sJXjBNIMtrRa +omo9gwme3TtNsz/yzEr2gougVrvHgI9SxY3MtEeQxI98NjQ358AkGnSptTlzQGMYD4yJscWMzg1J +3qK2eoINUTouq7zsRR5rcAZKEaHVARiNKbpysdC6vLkZYOjYoN3Rla4rIZFmWn1YmdE5jqGgzAoO +nIvvCDT3vHLSptvCcMqbHAw3nM+MUcRzeNVgSI7770rwZWKcoJGEo5zWqd1l3+V9D5ITX8YLMV/8 +GtqExJ2QklLQWivXLXMXLigp+Aa5QeMZ5vBkq+2JtIuD/IUl6lFZQ8PAC5trVYgtRvkwfV70Avmx +Lw1G4Gg5ld89qyS28bCyFz9b242K0t9YxtAq6WQAQeYjvse41M+kjsIXRcPUl0VZEuJre1dBFQcq +Ge0RNcadf2e3uoXLb4FU2qmC8P6GcndAyyGiNQjb+pAGy60LgFYVgLIZqcB9/H+1bxM4ZQdEHDeu +021AbBe3vMSBwPPllS/KCpO+8YYUwDVnYTpnQoALOs+ZQtn7HXfNkUvQ1tYiza7wHf04eEl/l4g3 +pEnK4Vs4tVGHboZbLjLGNfrf5HxsvSOvXBQVM0QStHBN1SCTqeayoUnI9lYoIwj8j/B2BVBtUbHT +Wpsfoaj22eF3jfJWkLcWoP3O0lZvozEeqsFBVqLOSHR8zGI5da4vz/6zuNwSD2DjvL+yijouwjhd +bK4q+pP94L0boAioOMYQO0vzl2gJ7aarjnAKDlHVOPdUuR3FGCnTJlTG0f6bUkiF+HZ6ABLGAnFE +KHfro6jxpfC7o1xbiKUmkUYpuZ5cV7nckVWKNEeLJOVMurBQG+PYaxXYLKw+wOJOScuXRjZqT/3+ +LTcI889DSvvE/nSW8LWvsJQKUstVvCZCQuPakDjxXo+5o8bHY6XgnPivrqbqqz4kwhuCsBVRCWgK +qwfg3yRROO1Mk25NQQaD0dS/slXYRPMDYihxNjYI8WjQdlOnnI5iHSBwRGWs9kUCV3HXAaK+qe+j +0Yd005aAm84v9uIuyM9LRtGd/34UBYS4w8cQeY6Qo+y4PPoN6lH1zIB52Yi9tKnThnSVq+OdSS8w +Ohh1XAKuL7rTIRzqe2P4ZBCrE0aCTX8Nm0VvkfizeNelFU+nMytNMUCMdCF9aAXFYhJ+KimL34if +/wux51LW0CBaNde0CyVvyz5dR5cT33o1SLPCj1xBDtzJUc0z+5woR8GfLfTLFS0r7nloaIoeB8r5 +RTB1vJcCdVxVGfsxVruRwEhaHtcNV/GVl4O9/bAf9qGqYk/wFqkJ8qLOWMUJXAvv9k7fmgov5bUj +bDgnp6xSCiWtdRKWb651gJCFo5JqOwST6oBHf0D9bUzf0YRi7uvCPqAa1huolIwPmFDp1+oGkGmJ +ZLNd8SHeJnvDuQcY3V2tPF1FSSgYExIO6BLI4pkWEtMaCgaj8Inoknmad1nUGpnBcu7CtAxQyDtP +qwlChKy9JoRx9IhraE/0GBRXWur1ZSOBb6C5eMWexa+0TG6PKdg8pbBSoSbGx93N0liHhRUmBSbd +U4+HmPx+/m4fDsmeMLjjtlcvW877kuMD4XDWo0D8kuKzFWLZqCT1LufgJeV4yp6jGS3d6WPilteJ +c5SZ1LsJJHMvbjyTvLIbNYklQcP5Pwpm8hR0IPSpzlkNfSt3C87fO4Q7mHhGkJG6YcnNjt1AMiV1 +s6Lr7WP1i8IptE5HhnQMhG1Ur8JYOewJ53/7kIwQBAFqBHuTr2g2///4g4vj9VzsU9u31gNbwQ+t +ainTy8y9IYaalyI6dUhbxLxVBCeYX8Tmw/kg8c0zfdTzs9AzI/RaOp6O1dZ2+OihrxEzD2IlSkxA +qw2sC+L9JV2rsURyEzu6rR2y3fp92ztvqgyB/NSMuI3xh8OgmAakMLqMZCi2HagcmxzCf775kWjE +TgTaRqgaL3xHcbf/BgOpAEXiiNeFRCgY9LE1tyYvQbpQBWmeSV0kfn+M8Ab2XjsfaPbd4zO73PVC +dnBFAgGcd6JKptQ96mx0nkdZIog1vAoN8T5LRQHq+wVJnryDEJ+AcvniEcLG41g6OXkPqBW8COAS +DIeMsZWBKfZxu5hlMoLqpmN/NWhjmkPmEHfyWdMDJ8G0G2Y64dTORP6u80h8DnOVSJteieSqlB+M +RyTEYXX8bzxZHZDYKPAeZgLJyImlbIcyysm4DR5WBFbYfIO9ZFl0xqSbbeii7p9tnqSQvJ+Gu5A6 +P0EJF8aF0jQ/ww+LBoJmBHkZnP/6W/0HvT04by1GV7aDjqmmhV1CijB0EWA+qXm5Uzs+gcjEOfjL +Xm2VsKhxZRvGN/7dUtWqInrKwMTFY5sqiUXvTxs2muuWYPUVe4Pe4OQafG18gotW61uIs5F3oNc1 +g+/9RCPoHuV36VSi9ksry8arXcFlsqy9iahsPJNB5+4KlO1u3WgpjeMntjSHm8tOMsCMIArO1JrF +25e/D1BqxRz7bF+VMqVaHtC4XsUpPgO3Z1Ijp0gZLtrt/8vqCdFadPuJ8OuIhBFVzbmebFVRBZ9i +oOiowLP/J9JHt6tByNz4/uEd/iKs0Brn1eOI+1Y1yyu2BQQ9qwemi22MYbfphSVQohexMJc0JzJk +936+r8g1K8c6wHjeEWvdc1/et/0m7xCLDkNbigV5vegRMJ11AR4UXlXYYXkD8cVJOmyd8PtnTh3o +ML6ASVILLpVL+06KhTcUZm1AB7nzlkN9mvGNWLDRln0pJrWjGOqb/A2H0IWt4VgXSnGkP4n8Fdqc +ois9v90cyD1ajMriHjXtT3Y5NrJQ1u0nWIwvhjf/g3iiFxa+idbk24kxA0A6rVOrlfKN5MvVHVYV +PcPpNbRDWGVdsCwRCzxqO9ahHODByM6Bg3ixN3HU399+xhOl4Bf0Ryfbv+OD3bOix8t6A60vcH3D +y3LBqH3TbKVW7jJG0oRstyyXI+01YJPQ9qkolxOhCIQlNTNnnsjmTlDm0uGzM6FaMfr0/J5yJMOa +HuGtgzlpAehLCD/vmBGCyURfgFWTguXd2Vy2y3NnotbQ+0p/YmI3xMhaEl5f6MB3wnjEaMShXHUA +KiH9jVf2sKHPAV+GIy0a2YWt0LfANH8muxQEQ8th/xqzbfA3yWFeGvJ5AIV3X3F2nEH4poDZXwe8 +mmRRVUDIG7H1sszjD2Y90B7vBZH5IHB/i64Cvy4B3n2xwH79EFGNeZx13WbeC7lz/HfrKmnUFK4X +OYYv9hm6iOvAhNYiRvdFr2Owll27/ylk/jRzNjqFgrDPOwVRL9H6/FYxb12CP4YrGT10PAGwMygU +uidCXAbjJLNjpsmhhvlHCcVXbhEj512yXjQyxO1y5QRg24B4WuN//kOyfm3GFxxtjIvnQA08WkLI +cBdjN6OxtympgH7EwIO+NsFXWXKDPLH++su2AVg9gwhj12Z5xXf/TCN0NRVSa5Jly9kSBxo/qiCB +TY+nPm0H2dSR/BH9UULv/lff+kXAvKDxlRLcF3daSfjlCZbPcLvhS7m6g8wreZ+HPzTNDzpqYzd3 +06HRAHUKrS1rRSMp/gjRc6wZWc7FW0N2d/x6ek1kmQnf8h9eO0thhW7oBLtUB8lEpJX5vinEwR1E +olf0PREJKyf2lOzcFZ80hgamrsDGmj3gzzm9hNtNysfM8cDJRQN56Ae8vvdZ3ISDfVq2rli+G9JG +yL9QDMMl3pMVFwZATfd6fkERJYnPRlQYh2iJ+NmIi2W02emnxL/WQxpRHDEuVUUrdjB6+tzs+M2b +bQouHF2MxfeqRZ9UuAq791K/F3qCipw9QmnR/sjkiPQo5NKt/sreLBQXnFkbELYRJ4bwPq8uiDch +xDBTb6UsYpOtuq4jAB1wkctSMTiK4j0Rv8GILt03QfcVJEIIvmjfUoqjKYekLgtHHeSX6lIF0uUV +vc+n1RFWaMu4hPkIP5A6cNAjEmH2ApE6IrAtOhD4wJjNABDGNj6leMCA8mERnxPPiSaby+8yibaD +m0mDKPmcUyIJTHw75R8bQDs6LIURYp5Mc10WZZ4AF0GJ6EUibqxrmbfj7f7v35KVimVEmJGdfOOU +H7inAy8bxUzghZaOMpnhH0Yoh0VcCxtLlohJRaht4qEmbWp8bgi5/BvJ+OHULt/8iJtmNCzO/+sL +OdHu+yrqGP3NyNqZH6vo1ev5dfn/uTuvpLP0FHXNZIOzshWuOwidOMBbmkLHzDfN7VRJaaUG8FD1 +kxeqZ4z19pb+m55MdnW/f2jHOrZovZYPdvFO5FdmUnDkS33U2ZOclZDdEgvVFu2Mr0sCejNb15zP +n53RGaiPa9z037nh3PkCpqRSpkE7q7RcO1o0ZOwbHwJ0ZJ4ccQs6lAR/lapmQHhb/Lld78QtM74X +KkeVassk8X29CqZdXZ1/yrle9q9Zy+eXVco7BdrMlaQrJj4Or0RRDCHT5/1IRJLGK9ejdDvEKO1X +3MSwuKvgN9FNtT5ev3SmgCMBvBGHkLBJWrjJP428+SYgpFbvcj0JmI4itL77xSOIlN+t+ZBs5YFe +0teCtU8veihmYmlJM4Vt8ZqBYiTU573DDFC21gDS8u721bud1NKXSbh79Si6tAlXaecIXe1ykbL/ +mIPWJGVosGnxa99jIziA/JeU8bgH3Mzv8IlzHuh4kSBhoxN2nTAOGA+XQZJQg1AFn83pVCWL8L5g +e5Rc58W1PU/wAP9l01LO2tsAKlxMkYCko/B15bV478lk0YQ10uKm4h2l4ckqjcDIn/Elg4FxXRZ3 +y5zKGpuiQRCPwaYMHay6+Qs3WY4rtFTsDedRwoQOR2unZq2Fo5TFxic0EqUfEk9/muY+iuTaikmv +T+6qGXNUjwCI7AZT9D3E8w4RBEMxyfN02YjSgljYa2khGNls7KZw+gsfz1NlvcGha2++mEb/4Fi1 +8YMjHrVGDdM/1BDNeKcwKE30w+g2UWuONJvCyV5R6iVkj2Pfg4+/ghWTC+dL9GyXaYgWty6z+0fx +Bu+ob7lCoy0409Brrelr2kc3Rt4GzGMhHc4iZnC6J4100oJHjWIFQbSLrSn0aQQfMwV66hkYJByl +LEMtFyViw6puR/aIU8q41GKbpNYSD1avuJCCnVQHNiX/ckdUPSHYtzDKsVriB0HyEmzlbA7jRNri +JPjYwu+yNnYOudMTWEzFCcq1JNd7TdgeUbL2m8CR+vqR99sD9pAjlrGk8qg8KtUXXq0kgB4QcRuF +e79JxzAFp5Ky0YTypb7zHw5HMYBnJ7EL2VHXy2cRDO9GMAAinEyj8rRIBz142c9D7EHdU6Sik1qP +b9Jp1dzHGB7YIc8HG1exjg8cE9ajmQwWRji/jR6yYbdJ1IS1EWt6NVEHT2t0bkyK0MhTIt53GniJ +GUvptjbzg5i2g8RsXL8tuWVyXIS2j4wHxXXzvNvmn/YIa6Z4AoleYww/pQKBYl1nfNVEXeZq7Ehz +Xep13Bu38IQz+SmKrkdSToEN3sBfibRdu/0hOZgN7Z7cwi5/pBN9FTneQoj3jRBBZWVFSvOrrQfW +SlYFBCQHVfQDQLmGTuDVBKFS8jvWYEKiQyTZa7bEVrCy5ZJg4eQ/UmtJXKtrWKRU6jafD0bpf6kD +AwTAyhQo6n5tG0zkQe4PoAJOtEMmQZUTvPRGyos82W299suM6XPLtusKoiG1rUOMGEyLKVILr659 +MVoWZ6ECsU158awrKmblppTYP0aOf+Og4b2S1myrqn/gIyTPd6w6a33w2QFHrlD42q87/G7RnR/q +7Jys+8NUZ9HZdYJYKZ75zxMuNMXF7oYf+YAueJuti75+3GgJAv7B7qMW+tXx8hf4sYDCxzt/3mRR +nIXEtcZQP+9TjEjwIvlKkgZtjegvenonCinzOeDW3gBz0fcMDu6DNRt6xIK1Ez5k2Ug2C8GN+UX9 +WKg+OtfRgXeUyNqbM7OdUBYlO6TORNlg6L1CVSoNhG0oXMvjlyu8+yVvoWGjg7JoKMdrn5T6vRRI +Ylz+oMZalqWy1Bzm7pNsYpjXmVf1ujNfkUQAoxPgi3Q74GXmnnK/KkJffGNhCeItB7L05LR9j4sB +ICgPxzwIkejn0rAAOo32LgEuKxHwkqOHxm+1JrL6eC6vJhqDu6jmN3sNTPko4oYtHRp5+nTBTi49 +Vx0XN/PjxUeZqzFXH0mCnnX42uPjmJB/zSkU0QoHAG9WByatiEx3jVmhKs1hVIuH7vldy7x8l/2j +jHruKGwRs5iF1uVqtHyXfGnDdLzkqrT/buKxuQNY8HWn5UQFpZg40LtsjDmF3GRwDpKrI2ZaXov/ +2E9pJ1xlpRw0CTmKSOBPXOM5q94Q8yEpx4vT5gNl4SvhuhoIN6huxljxaA+Q/zqVmAgZvnjzyrsO +JrL303ShWVotgBwLDJLnZhOe3oic+ezgI4dUghCwYOx7AaJQW9o3QIztx/mA4n+EqkUDksdIccRw +dwvBOtG9HYyZIXH/2IOYJCdeMYhzT3I1XK2XXkruWtGD0pqLpT5+3nt2niCwzSiWCT0BEY2vzzKr +0sAyfhkPXD+GsY7fsdITxfRTIc0cqYyE6y94DUQ0vbCKLWw3ThKZ9w5fw1KAhe/8cgS8efyMctas +6n6p2Gf6OLy0sogEXZW6RI682RYaSLmdxvLgmpkB5ITN0+hQM3p8Ef0S+xzQjCSzpix6NVYUmc0o +r2pnt4fNifBQuUiAAL1PRLRrv6xD4681+fbFjcGD26KFQIZpfMrsuFlUHVKjf+/mMbnEsYBpvimM +C488WbJNR3BUfsPug6oaZkNMBAxPsI5PQF1dQyFRWr91J4GvWrS3lL3V332RlQr8eNlq20Dp/pxJ +vC3JFW+T/INMsTVGE4DS2tZA9/PXsuSpNc5EX0nBjhPBOLXttzlID9dQPAn26OUujsdkTGQNx6xK +0UnyFRzOVL+/e22Ga/C1K6RD2diwp549BTTyr7qQyMONoJaQK88ORFv+hQOlsgivD7dp6QYpf7bP +5RNE6dga2ANzv+6uv8XTzxktPTUGfUtjrM53uDJTZsMBI0sTW++k9/33V8y4Yk6hNh6yzTSOUbkI +FzXujJZfdaDykDNk51vg4jGb5hTQEGpmuNft7O/G8IHvMTvF3+COxAEp9VD2fb9cpEA/qBo7sliz +nlcyEfBGkjMFiMzmvb+fSF0GBF3nsrl6ltGo40bZ+GMUxgwj4VUe9HLE867kuVGk/ulZ8IB46Ssa +3o6/pmdHBxBWqCRCdTrzwUPE6Q+vyqFQ8Lw0U1RNcUijZd6ci+NKu2wZwTbNKEMRKyjOEMQ1R9uF +EaSsigm3Dq3cnk+tLcIPXxqIhj7MZspxTc5ZkeCzHsxE+EqZYAXmFf+//NboH4vdqQTSqW6/tXl1 +5djy5teRDcm3m2rTikjVK1hxv1jPuEPqC7/tg5DoXZhrtONqgY5KOnBKAzg906dyl65vfePBOtb+ +DLfKz8Lz986nJ53/ASkmL4dZUThhR93mYgDC1mqEuJvyi4PMOnC6dqalzhNjKWXLT8le2VK5cg26 +uRPDX/nZx0IE+EuM/byoIXhdz6loJuA/TIXDEeJFEXveQCNuoDH1RsNHrGFF6NkWZeZDTX0UtugZ +A59gBWBjMzBPLAttd6trLW8kn3JpzUmYGXmEbnugae+WAsFlWkFZzpnm3ZZUSjx0McuYTiWJ6TKF +ZWkmXywFFUVSGRVXytVoKKIuUlI3yaXsi0xw8Iw1u+VgGE+iyuV3OavXtgVJko5leqtdQQu+bkS9 +mABcJkJxJGjDUP0O+QB9FR46Sqw3mtSlijxRHo/BL9IeRu2j4JfsirTlAtLQA7hFvtMx5HXmaIKd +40D3CryI34nPT3jvYXkyNvXicwNEm0LDEw0s6/Nr1dleR00R0TFaGRy9+EyFyU0fWKugF8xoKoQV +SF4hbOpcVHUjXQgL/kuoxujHu715QLDH0tkqia09UMuhDNmmKnyUCcDocnQzS+bRWTZOkdvaUt2d +Yd690BxFkywYAnni0S9btsQvAZs8bSnX82xbKIJpUwdYICe2nbtQ6pfDG4FLm8/F40LZMBlPF8od +JaTdwhUUZiExk9tnL1u6kBZ8xBFHK0beHxKnrRUWyg8FPWbVg2P9U5Dil/I9aXgWskHwF6RFLSeN +OdVlZLe9+TOcQXUGzjNb9J+8nEPDBq68QaqjP0LwHSRQQ4L8n4RwStCwTJ0xq3OI1jfRblh1uhc8 +UeJcLXpGOOMQVcmUcdroyAag7pN4bqyAa4HIebNRI4FfaDa5PY9U1xLFf65she+1w18JbpYpLmWf +edvYW97p376kJC0GTgPdqpaZzcnrFVxIgjJ4e8cw6Lid3+7yp1zV4zkB9Uu41B7KVheHHNX6wvdj +UKMvTlRARvS7pkej82EMeGLr5XOQFOWzwJsd1in51vpALzYcrLX8EzB0r2h9gluVpPd8Jp4UH8wZ +/w4RztPZBLisBFnhhezpZk73Adgs8ktBvDJaTTQhfU5dblt8KZvXqNmJ9NV72pIY/4VXQqugNcla +RfZ59w7P3+L8xw6xbY/tRl/6+yR5x16lyqQ5Nvd9vTuSTHMaY2ZECKjGahFCYjwusOZVoWlfz+hX +K4iYYVrO8xzV3RnnYnGNxwJ5j66dfiiXIfgIoH4XEHmkJzo3dXuFsbe6ICJtOxM+io+ROx7ApDDY +RXRYEqKqT84SsiCHEtEyuicy5sIiPADfOVURJ8G8krlHVdi3mw0WkemH7VEeNWbBnICugN4VyoQW ++sxC+jCKpkMTIfGlmQrZOMzlrA6bOkKIAkldBNrr3J42dEcL/ZZCorZ99EVjjYxuMvkZgrYfMCXG +K5hJEfJnfHsddy5Jcrwl+zDEx5WWwJdUykYLx4VRzmTWlByejee8xufX1pKku1xSDJ9S+PrM93rk +yiazK/dvNfhxmKFXlRCydCneES2PdUzwLVDxeQzhLmfANgnamf7+RRo/GFhm7joAm4DhxPfimQtl +DZuCvAx3KoEABB/g2u6SmyFcuebACMgjDBjpfcIg3N2UoIIEZ729lH0tYgdjXLeJFYL/hzXV9mnF +L6NdHjKpp2heQJewV5SXho9//7I52wAfOfmBr84qnUABKflGnTyT95njd1PTY+9kyPxNZwJf4dIp +DjQOiuLPZh09gAv0Zq7izRfy5btWtrTfJ1ALecaQ06RaA4zBTQVfySR1Yk1QsP/U//PsRxe9QyfV +liTFDCQSv3iVdvm5NDIao1yu/QmtVwDidR25/RhWcEYmCl3Ai5bW3Y4cOmuGiXeFCgbxiK+k90Ce +dXu6rqV30LIOb94IP3lcjtoOROh9Jgn+f9KBiTLjjPJWXqwbG7OjUTmv9i8XGDn/TSJZVQQc0FK2 +lbi5RVWqqAkb/W2reZqvtPULwgiBPwftI3xc7Ts0/AW08LTszD4xi/lXATUcO3OPc85T1Y8+0jwV +NWGHQ0jnNmhoRh2Zw6PxVLB4FD2WriixXCcWxPmEocLHlK08njlhxSD72VWLZoC3YnwmgYDCReRg +sY58yIPqmMjjG7L6cLDKqGool52GmqyhuXaZVHLN7xpLn9tMrJJAwZWf1W6eF6e1SCAuOYqMEcJG +gRsq8jcI3GNaz7005/7GNs+nyMMWGyUiiedL8rdIeqok0vDbPhtr7fCLkVuxm0TJrPh73k6HnFl+ +2vbMIhdpMp2BNr9i2y3C0jGjl26zbpsPw6ZbZOIfLE5VQe8ccEp8q2tevqrhlJvaeA7yQDkmbJNs +ZcasQaoqfFEYLUswa1isXcRe/4Ig7ojE9n+SqYxujDiJpYH9drhLrufQK0pYjU7ORt+Gq+J9+ial +cng3sva0Pw8CGUp9cXp/RdVALoBPwS9f9PqkkpSCZAkudY8viSw7OMBTivTsVK8n/6Ljcm1k2WJl +LCfrdvA9J4prOGoY0JpUlJZ24YUaDy86ZeexRrVWqPAFeSYAIPwGBI6pD2WWh0IZkNAUhsjJzXA6 +gI3b9Rh3+LvejX9eMY40TBc81XMrdkFWlfgGn1PHFNYia9eUR2UgchudvWoF5/lP86t5QomRh4lx +F33kK8Te+iSobDxboF20ay4xpNUpz9m0ObDzQPmMa7IYGdPzOXJeFD0pcoc2wbxfrIp/0NrCbx2W +RIubNkCLdSUaL85IZukjI5XV6g+9Tj1NSvckpHqBXBnDP3nRs98ZcrcmAkbUdiNYoF1B2fJgM4gS +A39alFYumhCQuWDvcXLSD91jTkgi8XNegCShTBVaaY2Ww15adnw62aT3mtweBlW7aK2ed7DTzQSz +XFHMEyaYqBcGrmKnpQVv+dYpM4LPfEY4q8MaJDc+xm4YYIBOfh2hqdtix0O6V66XPBdGW47lqrtL +jubylCerAv8lB1yAWd1qUp1FF4/9cobfWAlPELjfPCjYjzfZSSkDBsHbvVCyUTZ2ltTC37gHMdXd +752k+WyCM9qnkpw6Bn3xTSmqiyv+oIjNmqWOyiCyccwXRjaj5184kvnKscswmRqTgnf3x24fNAx3 +3T6iRkvF6m5kpIq0N/JN+oxpcRbHRpr24eADONiVXT9BuIJWUrxSV8MiYDLWy4I8am/sGwxoE0s2 +i60Iaw8cIY5kkW9KKO2IVB43ZGf0twpZJJyTY9q1Q5IEGAfbCFSVn/7TLmr7FL/JY7R1Nmc3ag9c +aXUQmVyFoAE+FiGdHKmdA6lPunrEALF1aWgmjKmEL82neOxKl5R4nHWEmsIyohnOWSHXi9VqoyPg +yyV9NTGGhwn/XGlrfm8guHY/8Ofn/juHS2LQaOTognelKZaqrlJp7JAunUUOUDJzjUR4HXmoN2aD +Cjqsn1Y/DiKYZQtvMG15iyNKRlpXnBmjMKFpADgVoD5qjpYsO/hy103EJmnV6uOQ2hgmpoMfI7kB +vgAFU5BL3vZV73g0w6we9hkw1LcXJ9wfa+ByW5ZXmrf8YTUnogdkoaTWZ1zAfLd+th5QpQSQPcxu +A5jQEWRbKxIeydRIWPLqVkEhZJnrt3tXqEdDHz37P9ORnU+0AO6ZISxWshPWdNZAlDTXMWn1aJMN +wBKV6T1Et6OgtbrDNAvB2jA9sH/BirIcDJWbVbf9+SUX4MKaqBk+FmVr1DCZlMMnLO6YEVTgvWy2 +lVUqmm0a51e0Z84JPnhotYM3EzIGrOe2CgQuxHR2ERjf27WiA2OVoapL5ihegVHQSnmey0ggdyJD +ESjVApj4STALipwBcygiu6rsoK4xdaPpvJieFwhXBr4VJO7E7FSPPPlZi53k5Ld13AL6hJhSvnCa +mdUEapLXbAx80bfuK2sUJUCVWKyJe+/ZfmmKRo3/MYjvoD+n7EF0fVYJ6qRfinL8zLAzjyDKIXzf +aNCVUZnhV1RjpmF0bFVee0JSt/ew0N1kiCvEOhXpYhnR0trP0pb8lQmFVkuyo6GD5oSPtZcOjYyT +WtMywpOkJi2a1FLzVUm+3f2AqwOIpPqKv2AwgLB2581woD2tQ9vX/9Yzmzfmd6P8uNWFqRgvGJhq +HSFi+QfCRhhEUA9xsMofCviX9uWmu83edZCxxFCAoCoM7oXpMJXGPAzRErmLXl8SXQngnWKVlIXo +ZgNXwqJ4LHfzxSQRwXWDdA+QocJ4CKClRjxFmBA5qZCyMqiXGvG4hf6mpBNkcrkTAom2duaIuQ8C +GmSVS0WMSxNg+61uGyDdlv/eTETTX207cB1O1hOEs6LcPkZse/k1Rla5ZWN2HAu3QYKfUbH0LFDJ +x+Iy0zcuSGPmzKFjen33iTpX9XrYG5F8AQ7WceEmVZcDw0f+yMocWY8TDy851CiukQiYLEZdDyc8 +zP3g6eYc02kNSKkofqPxVpMXT9hwJkMapnCktG+gN70OeYMVqERlwov1I25lhZJoDVhIR7UhAXcD +Xmh4ZmMlrw6xe8gU/ejRtAZRG1Jo03tQZak8u9CFIT/wu9WUhqKc4NKuYpoBR5h8uRNEZxT5hgDy +exphWuGaYmkjznnnDz3q3d1WgME8YQBPH6OeNYBI56HFr+OwkNYjVga1Es718bD7tsXgU2ych04P +XJaz6lpscc00sZ0WHH1voS2rGXNzcmmQCY+m9+bAw0ZpqodNr1oaskzKoFrx38q9YfWC1VfN0714 +ljBp8R8bhP99xzpvfH2wiVmYBk7qgYcT7XLei1mP46MZhrZRVThVA2hlyALyYdy4Pi0pDat/tUdB +VYm2pjvnmX0YJLZ5hhymIuEYm1lODhfulzNUJjRw/PZxzu8gIZFUi8KSy7ABkbd1NQWveSMgAzS7 +f0prFhbU9mfw1SsMEIy+BVX8YMlEXSCSP/KTup0uivDJz260V2XKIt6MugdQsKCOBkitFfeO5vID +mu1SSbOOc1fyYcfrc8igOYwxVzq2g9AcFnTw3wOMdi84wTCOka+rsHN6sjgNO94vut2qt0YOKX9b +7Rh/K7RjV85kkTmVm4VHB0vf5+msbMNUnltPpcVIic992ptcUvTM+LHLvOGFdtDYqunT0OTeI/jB +YTpmaCR0unUqGKsLBCxP87o+sj1RaeTTgqGdexRasku8R7LlulPyapd8/sVwSkkChFY5oGT6llbw +8vacnShcS/2sT7Ju22TuaI1Y9oc7SYyhzgkBxTTCe0JaI2mVibAfPldfb/TeiObPRsT2m/ZNw+23 +d4NyTTR4n0gTidyXtD5PYCjzHC0vk6MXiFjQt3y/0U4r9bg4VKp/6lOfhYw1mTwrTfO+RtwJMRmX +N3R4oDtjl+xr4W5HsJobC+aPhrv8dt9RrjWClIWCkNNdycNGhA2A3eaDGXp8JIbck4pFv4QohwGl +J9i/X71Mfc3Q7hClosSNnRzxFX5j1Zc8MkfxxhAjtwOM0hVOW5uY+IMPVjXrg+Fg+c0qb5reMDWC +N9yZvz1Pr8fkcnk/JFDCr/teUneZOGj9ctvnkPJ0bJKkygD1o4MXbdfiiQ4B/5Ly7/45fvRA2vvT +q0zxLAJY7Sm4ircI8rYAzhjNlGJw7ci1/DtfD2DKMCy2R/uZztN0/yIRytasVaMhc56rxrrNAc/R +te7dkjQkmqJVt95oX3b4j3gopntLAVWFwiDCQWBxA9Ikc7MRz/mEoCVpUD2wkwqaEhpkXOQ8025P +2S1o/dQtGVt4hCXAVr3/ifhcTjTNV0rPdNYCsiSTJZSyyklvcB2t2xX2Rj8Ag5exNUbElwrx91gE +6Ai4QcRBIbLO0oP6DXjeQEHxWlugS27lFL/94MUlrcMXsHdNh4pKGq2+crCdr9fsf4iOwYkgiYvQ +4E/XoHv9SxJ29o7Vcb966TTgPqhlhMmWzRf2Gvaa/GtiWvo0S146BAZUcEXOYseqdtCdy31eAQp9 +VyVKcjDPPndtaC+YEIlJX+WQcdMmJExRw01u+fUefma9Odpb6kpi6pgdapKMZPkW/mZpv0+de7y0 +ZTwZHYbqZGiYMc8W3tvZYvySzfdsFV1GspCPEbfQDcdFVz4LkjOrNsN5EPwYJ1N7fPlnTHG8k35K +/54SDsV6w00Z2phwqDiBL4RAqs2WCcUnTJkfe6cu+PmiQk1+MVgYPdZChvS0ibElgKe7zkD4K+Hj +VGTn+9VLdWeN4hOpU4RYfdHT2ZQqyrMT1Dn0FUDccIQDLl89jw9Eiq79Je4Ny8pmOCFz3E3xd9QP +tMis0x116ll6eBF+R90U0wrNejoJ1PAZdQLfMSqxMW0uUpIecK/4jkCk+zK99ImeoB4VdceoDBTU +e8SjlWGD4tbvSFjbPubcy7ng4JCxEVZai5Xkk7f+L7jJ5wUOW80pNeV9qCD300rA/xRMVanpwNGM +u5mznn+Pb03nGSCe1bmk2bmMbP//yB0pRg7tRbzy0ANrNRJl9lWTOLO/jOGcsfVUyMn+qsXhdapA +gafOSdGbExJiHysK5pnwS80L0+DYff3y+nCkLeXk86LJDA9xiMqT507pzwxSSLRUdqfPuEZEgQmR +xbVEXZ2tt/0ZCk3IJ1hpFHivdLO+U8oVcP05Sb6UPauhTDjDcpuBriCpYvKWUZu620n6NPUM+h+P +lxMx8Byq+mYFc2UIg2uhXDV2/zLfhOAca3AunJQBKN+hfVXuI46BHK0Kj65CD2Hsvm4wuriWe++2 +J/RatqQkOULtU/SJRUa8mwZMxG1eZ4RVx9XE5XCv76QA/3vbi65itoZRMDjkPoqdPVuUmyTxJnrS +ZXPzJaRgKK91dcATZL9jz3JDAV3W6XWwSEUOcshPpsZ9jaYljaN+QaTj7BMgGUipc5cKxtkM/0hS +8qOMuLq1y3TacKI20kpX9YIpUW19h54Wpz+cpnDHyPzKCcyV5hD2WJS4qXkaF18HYR1stmYUXWsE +HV/BXu76528tI87izrlcMsf8nDHVVCFHR525kUDwJLNv/oSCPyMm3OUeMjCWKwAhNk7n4V2FQexz +kB1+KffwzaP93A8XHac21c5awiBSqnEw8E9OfJOLplLlC2bPN2GF7BIuJkpKa6OV2TJOs6EmaJtm +Aipfg5DRiLh39ZWL52sBAw0Cq+BvEh/C/Cor6sk65qWLttqwof8pGmXpC9qZ6Xb3pUQ6A2isBVie +SH5ye98TQFbVMZR6/VPyatEt/m2cwi8h1GFGVBUbgn7l5sWBKKqu3jr63VR3GRtLV4PfOWYYwWqZ +wOw4s2pW9RIxJgB5fmJUEt0hqjKCZkDt1XO5bznmgskXRH5iTOSyvm6fkvzn3R/qqB90pQNgn426 +pt//X2IhNYL2+L1TFDHjtDAATi/WqrQrSJTjoBBo9BvpYGeR352vEJOIg0I3VuUn/6fEMG9F8Hne +sGd9ALFYoJD8ChHi3sCi7XH3WuEuvIgOovkaDX1Iu8ZNe6Tg6PQwah2J2M48BS9APGJU4TX0gi7t +7mN9c+LrMfeYy8KT3gW/yYrxJ6oyGgfn7tovra+NPVsbTXJx5kjc0bBqv7SVa+I8TZFiYs3Ufv2v +gYuq+uwYYogaRcUyYK5mM3NqEFgp1pdyJzn50vJqS5G1OZebjO3lxTNbWpqbKoydofhpDGY9I7/Q +Y8WF8NtFucWfotF6pqn+nLgNLfXYtzz2OVUE2kr+tuG5xd0zSYcoYobLwjlBxhShXPhbKwLI1DKi +IHTeug1SpK2sLvNJwFLUrb7VqmrTucm+0qa/YthzZcA21Il/TdrMfAyFvsR17g4JEShAGVZVsbuZ +KAQXSG/rLa7Z2e+z3BMcVv2o/P0/j/jN1VIXM20VnX1EUsGOpGmVEX+1o9tEed/dT+nXDXNyJ7df +fybdF0cfSM3bjqM9984j40SQWu8W+Xl90G5mTJQu3aFCWYXP1igfByLMWl89Zzn4rXbTJ6N9kCy5 +LyNPhxXOK2EkmCMAEKAdK/g+TOf3bIhTjA+1BIsH5WB8hQiqyjeHX5HgmAUQuTramW2pIuzZlFwE +IBX+U7WmeVdANEj4pQ+nqOhsZUoQrygDXVzTT9caxsbdReBIlXFV2xtpqm6Ic0BaxiI5meCFBCd2 +cZVW8FuQImUWdZa74vgJ4Hv5aptlLKG8MrlY/3prjK7MbATe9oMLGIcb54ILQj0nLGtEnDEPmqp5 +nHcffBbiYwJx6hlTdCq2M3FpCBwdLJOoILwZKE5DEGCvAnE2Uf5266QipakeSJLQvbevc1TeWkVE +23GBJJPUMYJkhjx/Z6LcR1jCLOCqHmV+jubcJsOsIBr+oBQ5SGr5MN3EauDb0k9pjZVfwzEb9GkF +kzcClaTCxVy5rI6fSgdY+3dlkYwa303z3kqQePim37oCalPxRnCGqe6M3UeyBljQTHYXqPvRqCc0 +xL/otbHMlF2/rFucKvf2P1TdVJOrJkp4oZzmbQUmwyxfF+YhpX3T3oE+pR4sXomqy8CwE8h7OdzG +Mr3oj5IgCaJ/7N/lxwONH0m/zKYiUBkLSc0APNuH6lL7VL5aPGyHGvQN3gj4r7+n7iMzNkumXnmC +DV4Uga8ipObKzk6SBzcw7LFYdr1uMxRh8DLmBvf7aJv8mWoBCOm8HbtC1oAtbez04WQ65SS28Poy +GZ2tAQ/PamcNaZablbP+jD05zMyPE3px/MTqHYPRNIqYM0h9uZhOBtciT3DSUyd08A+Gsd4t/IKg +TErbDEUCkmT3GvYYc2soRW0X2KZ3Xw52HQEfL8xNfoxlVqWq+lhS6st5AUvuLEgvDMaPtB7mSWpq +gbbre034KyQ1Jj7TlmAqQzpAbQue52CyRYfX/sFO9fOzBQJ82RfJT8SwNCO8XDJPvjG646rw++iW +50frbQwhrtUJEtpLLN99K/AZhZLshT5cvJSQB822+SvxlrZM0921+r1uophETXD6yavPakVsXh+H +MpRnsUKwqBZ98W4JGp0COt2ZB65Muu5A7y6MGACw+nngpkzB3QAoU4W+qgx/5zuV4e/TQqMcwF0w +3Wp6AbyqkfV55kqBfFKUeGEvrPKZAhxz2I+7S/E8P5TABTrxFbOH1IwB6JZmBf2qokLvn6J251GH +6khlichF6gzPfhsZvqNUCynUijvsmHQfWb8uAYYp4OzeodnngeYlr6j8gX2wBJw4aRYMHdVv2dyz +EiPcA83JU64FFLlyofvIe6NQqk5eF2UdFzPLAnVrwdgut3KN5gsu6OES9XCdV1RpDrriMksMGm7O +y6sUduGabTYrmMttSDI3wDmCsXByarElzMVSeBFBeAHg57aPzbI1jb1Xp5y67Tf0avW4knp9zCut +AoyVDVLIVSrtIKCx0Yjp+tyabqyVDWsonzvyYUCjopxOpl0T8exfWsY35B00BLSfIbWNxsSr/ZAO +OtVb47l9jI6mAzkwqKjcoAv7/FAOrYnwK0I4toSQ2Po8MPDrSKpTGdinX0q/lUbhMSdW0UIGsKoc +QeLt4Kx0+4hAIg2r0AHgjOe05OWWhKNSHPqDV+LPErx27HnrTzs96g0qkcSNoZyzuVcNlTLX3uvd +BMPYxlzYG6pfFeN8MJ619+lITgDKkciqje5YpLuCsogkgaIzAFIZK/aDYw8422Exd15/vrk29jw5 +Va1fiHGpd4AazpvmYTVc9eOIPQLU4tz1fjtePYl1D5PWC+QX3RNdLsrO1lyLTj26S4/jFLoxcZcv +XI449cvqXf7RpSeWXPVwVZDXG7QJQFrPcqCW1CfWh9Pld1XVldfkYe/mMDiiPG4WeANor8tP4oUP +tRCXg84GDMJeblDa59j+ulm14MPkKu2Ni3ctc7cDBSPrMS+pix1c8w9XDRLzD0BkmecT/96ylO8d +SF39A5UMnnQCKedGMKj54OfT+1dSOosbLmLTv87vqGvx1qg+iiyWAxZ0xgBsLvj+D1EA9ZcCV8aE +JPmEyYexfLqBwcaKaQA5TYIzGxL4Nd90373XYMc5rTT6GX3zMZnda1JR/fsD5ckJFzAkYfV5MnMg +b+IzHFu9sxw6sMtsGgTaf1i9VMumgLiz8sZPiLM69p9tjbQpSRr4Yef8YZ9P7ZfKxidVb/j00+dm +ARDnPn49aT7fsm4+3AgUKG45zU1dSG6ueYfrvplWK8DQ8FqNYRYuPcp7XHPCOvzzJdAip77FZecp +QMfkx5TrlVNYXBZrMAsD/Cd7foHwlu8kakx7HHtzgjMeNlCVpNDxFNbCClGT3091fpgNuFJoEXNy +XPZSmTWB7RxyzjA1tw3wNj/0lRTqdlDer4ljb/GZvneH2yJJxUGoD/FdxXxYdBEufKZidh7KcT2t +zC3r9p5Ii/4hQVS2pz0N6lEytSMvYY3Efuh1LXCuaR3DyyuTIKbJ4a7WT77IFvpzRYPngDUT0ZRk +4iflAvzimkDVfCwLR6HpJnFzJQnoi81z50UqAERnY+s7+1F4QrlAzVZTRhjzWm89PgWB0mE3th8I +hnjbq3zVPvIZYh43Hq3tL9ECwnV2y4mU8i9upXI0OWXh76fZTrxJcD+fGk4uyimW718aIA2KbQNi +OZJSbrYBMB2pBksd3JmrSE6PRbV7UFswr7C1c1SmGS6BCIkx0aJ1mfqJrZuYeRtaAEGYs/nEcyAO +Kp1VGpK0jlWRJuD8y/iRFqHMYAP661fqYwG8QZjztPkrx23FK2E5iYm5HUqxDiVlrqD/mw7lbeEz +GIpeYP+XqkT/oxz+GOtO5tnImBowEL36kAgI/iYewlbdJmGxfXzqf6NTI1IByyDQzwpa4xurYVmJ +j22J9+Ibwe9MbZneL0f5LuPj74+A2c00ajdeYdIwRLtDb5e7r3hu5ZV799HcNn797LrzXG3bEcyI +SQDiVz4yHpLT8FjTnqU14qYa62UqU9gofnqElaLDtj1opvJLDN4GhpO9S36f2tQqWvY2A6L0jv0s +Q1d++J5jA84U0fbLWr5wLvkJtxMa9nBHKt87tlIbvIMcisT+NxfJSldm64bzg6D3fXwu2vuSpDne +Wt5temMwfMIxZbwHGpblZBRCDKpuUCzxjeZAsFbNTWGL42++WgF9tOIHjooCJ9rK7HGL5Yp0wTMB +2ODHaozBziVLIDMu5CbwouYBnfjUXnq1AIUoyDxs539LLVzvVTy2D+oVUbFyW5bHsHXo5aQgcaP/ +WmAGeucLYf2HoMdfV8B5TLnG6r3zbYY86R0fI0SjrUgJ16KahpFxws2j3jxHAMw2+jJzcsClKhIk +fp56r8nVu4FbNRgnHGnL5Lx8fB7m2v1bcUtxF2ve1vJS3E3zqUqCYS1DPEuR1xqKaUjM6ZL5FCMH +wiBTuJYAmQG1c0BgYyvn6jXstS2/k/we1Cs8vBocMvgwui9yjswOcrr51iLExbb3hrolpg1V2HDh +dBSdr92AGTE3Mx8xNA3yGZzSOS5jWoBKC4qEz61tl+E3omi5A38n05UlnTC6Th33wcjIuHWVHLy9 +MnIgMme48forOLBNchvN5XqzxHJ/G+3UnwyK/QBUOpf29y8CyPUagqnVMvcB23TyHOiApn+yjB4M +zjr4jpAB1pBvB4qkOJMR4lxqVe+ynX7LebIimklnkHZHTJLdd95UhK5HpXlfJE7Ug26uueuuF+xZ +JehCpsnQdQgs4FpGI2dtv6OZaN/Ib/nCfpaANP47MfBoarLOdY3Xaeuyb0CC+qZ56ackQU69NlAg +5BmkXjjIdg5b7w5NeqJRuX1Z9MhEZGQKIdqCQSE3CUMg+myL9dFsGSSYHZyhQZAxZ1M1r21DV5GS +cmUTTyZ3ohFTtEBifjy5C8LY99rXb0oBUH9CWS7dJulbgF4JUHDLLP7H6n0YfCiG+r7sjtE39c9t +6R6QM/2Or/gOIpv5kJEw+FhSMa5svv01uoSbSBNW7Lo4W3qNbKRTvoFMB391/9ZgrATGhqMvySKD +LsrsBYFq5DhiGrUtC8YN79mG2a0srJ6QvLMLRpVcxN7+yeIAX0umqf88eV66l2QBzr2MlBrax9DR +K6pkCXNrccM5Y2wOBHQ16k+SE4lB2q+KRzIfuMJpViZhVX5bLAMTuLznrPQ5nIMVep+nf6tU/JPQ +aV5rGhKGKhF8wHCc4LAa7c6IyEhQgmR3ESxF6yHYUvL1CucXty+6AALRoxIJVYu9smROt7Wadi/s +MlR/exA3BwIgnH0jPbCWHCzzbNq/rEzIUaSu/pok6QJ8rs2SFrYjhG4zfH7yBSrRbSxSvQh/2Oij +4tKL5fbPjDg/08Wq6yU7OxujFpOlLM6V1Q/OQw3FG8KvkhJtO3wKhfmYC41TzaSesKqWW549ZwQy +twQ3vC5ypWUhmX02NNqoXQrRCFlZ2iA1vgaXTDL0lzf7NaK6cMHqQ5TeVqIIaE3VS0uAG1WFdcK6 +aYUVHinlcMdGYvcVnpCjXWnqMWW8Zn2/3K0oHcWTBerLepjf6i7ZY9/cwLaBOa1L7Frm2/Z6y0Rt +f8rhyeBAGieXMkJfvxVpsgQ82BJsFhOHudGoYVbS6JBQVKKnLgxnbHbXCJ7WCMNLQhxobguqLGDp +9wCEOhEAR2oYt8qQBkjmvWMJbX+4p7Jr+3TpwmPVhu2zIBsj+aXiTslHkT8gDhJCGEnxRvtlfks4 +FAZCV4diCkBPJW3rtsS5Rp0PezwBz354wLHSTgomq8fUQeb8Z5Taij1XCj+R6KZ4UAhQHkIzKTFq +XHFg0DAo3PX6+gBzpt4a+fm1qvXruUtBR72qKTjhzSO54OtP7gPXNVjuegq7m+NvCQAoYYDVy8X0 +YNNg30gaIUqmHJdtmPZ/p0zt9RUg4qkudIcJB/t1gqqbSIa+8EUkItpH5DqHA/i1f3UWdMhMxa7o +4aQWjytpihq2/l5dtmPDAi8ibFywY4wPYltDh8+Zgi1b818wrz8Qu2KQ2xUdZDGOGESuooH9jE3W +Qn6ZX2Dl+FjZwrO1tsWZLLzRGdw9KPXbpzzN3JFfhShbvy02R2y7NCaLYxKt7/e0rkVlXx0321si +N+W0aUlfywA9ftdV2NW+3cjoIAT6ya/apokl5MfZX65jkfQaJO02Ik/mJO+VKwvoq0qnyEwK7UQz +C4KPHHy91MrASoLdMCGMJ2xYGA6MyYAWfNfbd/YTLGRh4sW1Sx8hyEgQpmiuBO+HSTCWHdSIlRzK +n/KhIzHwy0k2HM/Dzm9OI1N7dLe/JzJeOURO4My5R86Mg10hHFyNHm6j4OVo74wWxNhi05JOTOHy +9j6DqYvNTyBnLkbqoGhma/cn4NEtXdLVi2Usq5rlefutG8BN9LLtS7kQJewsKaBJ/JyN/V4cmNsZ +q1MfWKOlN05GQTALIGz6emztKZY3yJ84FzE7eclZJ0duJ2tLodCdBzRVnUaoHAM4coMDPXFSMCUs +eQ6EOojgmkYKEqfQJsGiyncKkTUdnhId/6ck49ekqJp4N0fweSzQSK7SjqUv3Cf+qWf7uGdcXxdo +kOuIYt971pDOPXtxkpCIpJtxUvMOysi9dWXashPDSfgBjoaarvHWMdVQIbLZvckwN3WlqIPg3UYq +1A2dNGfJYu4+qUOn6ot/vbiChWRDzN7annDieG7z/rGzzNi1oMVeM/1ee4T4pnVYZ1fbRpkGto60 +DI7qQgiRs1SefAQCzUlA+HFXA5gNpscdzTAt6wGW0sl2JQYUbnNyMELPaNzPXgEnVGcGxsE6ZjF8 +L9oy7dfwJw26mLz1sv3mIHKU4oCj5vVwIi2dgR+yj4Tqg8OkQmvviV5iTKTZ6ZEcjkb749sCCwdL +HFI6gDB9WVtlRzkiVuFRiVvOaAJeZcff6OgeBy4CYsuFshykcN63mr9lNl/mkoGE9xe68e6w1U/t +S3hStyyC5a8E0AYfV7abm1ZsRDsfRNQlu1IRnnsFQRWAi1VGQ85lXGy5575MkOwdlqUgrdbew4S4 +FkegfwlH5KToBMe7LhU966dMsYifZyB4o894VoUIJzr6ireBQrgmpUpgot36pdqkimGkfPOcGsEt +RGalwQoZ76WGOhyCo2/ZU70qba+tLzudnH4MGmpa+QbfP4qCT+L5djW/fRTqyPs2VTXugLnRq5YI +S1YQoPZCuEs4+ffn3+VZ2EDIssdj1g+finTnz5tCff3W0YdgV1WEiLgSlIpbngDOE7aO68EYyBes +ECFh9MtS1SMKag7jdlIgTrz9lwuiZT9flgJF5Vgl4uzDQBETgs6AydOpqRkh/XwBHbOllrnkRRcI ++qWpM3czIeUUk9Lj2BEghWhMx+ZiHag96y1iSGxeRRlfqEpfRGa436daZIrI93ThKW6j1wQZUtnJ +wSNqWawfFYZCJxdkBtnrTGdMR2sd0TzxPG+Fu+iq1MO0BqnppERpj/3GwyBZfWoCDeLfIpW4ULOr +QqtVfEUzyllnOwQlWSOtS7fN7/Bmi2uuKo/MAVhsRTKlqQx2LMPL2a5y0jOu+dIlefNXhKNc/alz +FIQO9kxm7FJMrwjb5h00dSMUpNoC1spyTgAe9E2/Wc6MA+7vtWcL3bbAtzNqXM5j8kqDgXZuUuDg +IqqWrPRWqCpaW+Ts5/8/HdsYcQXkOpFRIxrNlejnUwCk23sopOJZRvgQ15aF5vc5BQfK5hywnKBW +dBrdiXL0g/Gm8QXshdTyW1yjKhvnZP/yvQrvq/i3zdHm5kJZhUzRTiSqXFnlLg24zFbXdfbxQTO0 +64YXQju083Lk6uk3bpavOFM4gWOyOz9k4hWvx0/pu/k+sn6ja6FRySczsqVwt71Phz5OxjBWAFR+ +Y2axTylNAup6UAjBbLYEHkQFjTnsGm/9ykcOofh85sFC+Prk43O46bbeZ89X93v52pTjc4trf1zC +mF1WnpdPFJMDiLBqfIX6j7JqjikZ0qoVMtMAkh/+5zQDFaOkCvmD3/9LnNRxclqU8L5fCbkmTZpl +COQXLILE/ZfYt8IosaO/vnyS1G6oVQNeiu/k6SWRNAXUNa6U03NFbCCuXtb1YgJOivaLtDca8VYM ++WPaq2SZ9fHiY2Rq4sHAVWUowjqqfIdk3jxuv9jS5adScCfetJo1eRyWFR/8nGfdfHxItDwF6Eve +SWaB9lxtG7ZIiYUfCHpskqXKJ0ZUAjOhj9LyMaZZ9EePM1pWO6Kzz/0yTHswDsroj/RYU634YLke +RddDShOMY33nzIon5nAmG+P9+wGaQxMolJOSN+Zze8drp3M0VUc3qB+tdEABe+lhEmDzWxGG5ZCN +jyvolffQjQV+8PeibkWCqzWr5f8WdFBWUBF5wD18ugRhQqxyNmZ2T8oJgiMgFgPSTigerLFZz83D +0C4aZdwuDHhYRd8al+k3U3E8sm9qachsyccIy3bGw3ov7Gjt2y/d4NRyKqmejuCWK+f9XSHcCsjc +knv1arD5dMkdFdO5NNvBDw+92i5h1UpcPw4Yx9MHaQ+ENGOb4vruS4n2jW2IvShdfVVu2cgG7hND +IX/OlazH7b9qy7RGRvbDfPgAeQzffGCWNfY/A1YaQtZcVF0ngKZxdv1IyvaVarYJyiiIoRfcCqTf +9fQLHu82CtR0dnHCA1pY6O+FOu73Q1ZkvupC8to23HnJK75beIDP0u0t6NMse30wru+bQ2BGYuuo +r+BbEDysAFJd7Ofm3hcaOah7rFe6yxXko/kjfB4RpiPgI9Fa0oYtlQ7liE9hkBadupsOTcP43o4c +8rwTADvXAfjbrNcQgItcGkRZBJ//FRS1DJrNIImXp2dJlzFaqRut8knbhIcB6YM4E68awU76vXZx +XQNmjMemmN/ros8FWY384luVXEqekUnWSxNixA/8ls3i8JIqS5ViP5KeI3wN8/HCjrbJaF/1qEXb +HSBgOOj80D6ydLfbOQ6pCJtLOoNAUT7lohmnLMQlzs9oL2R7qyjrOhSA/iQo32YhyK8pbN6XgffO +7UdgSkR7WMhEbN9bBjp2SzHuFrd4ydo6DDPqJbk8FlMPwvC97y5iNEs8ke5SEeoCLXngi0jCaZeP +LdJAwxOVD6sbO3jah9fmczwNcy4RSLmD+tRbOkQcoW/pjtdTKd6Rv5aTPEfqLiz92BCJZalnPUAU +fPk5Jwl7b+onaqyuuKhjQ6o9fRt4Ses0BXPu6Q/jv2vvoVGTdfGut6fpr5WMFj5C9g/DCnfy2fnC +lH8fg8to7Sn0YrVDL4JBm0MChI3t7kmcQZqmyTFaul2KS45DBi404B2oN4whcrlIi1llY8lkDoCj +PATOX9voLJS/SrTx6p8oBU+E2vLClf0l0Ug61zfh5njkF7m+4pYG/o2K3ynlSdAr9fhlvnNVvFg4 +EsAKP6T5XXbiIxo5JogzyexSqf8rg1dmZB8EOptN5DOOy/fQXrm0lOHqXsjAX9fTrLD01JetwdR8 +4MIy1RIMrXkVJ06r96EvApn4GUDJ34iJUdeR5c5dYPXkzv/R2huvLd7ObomqLDni0sBo3/ebpVm7 +By97lrbceWuseaSg0dpQhQIbb0gqF8tMfRJw+s97sAvADxruKx3WoWxKJGUWg+tpNTCmqPlpAQGj +UmPSW4gsFxCGd4lOhkGQqLhAw6e5iX2Uiz39On5kSWyCB5N0I1/cXdakTPMQ9uaFZIW5DKReHx/U +ZO5aMXBry+o8XYD+r/FRrHrvsXhzMJZEXUAz2EUh6IMTAcTDep3s++wmQSsD6QljvCzr9Sg48OF6 +gh127bYOeiqp0j57zfOvFRkx7gvM6Gg15ArnwFgOtLxUjuf4BD0eWmYJ6/D5uGNfikdgB+g6RkRQ +fdxBSqJ/lMU7efD8b3Ua4fvJR083i4eRtDIlIaNFe/6EtMf+PRXSaDun5UMeuQCPBz7/jHiPNgkO +Tr2Ywe9Rff/v1dRNDBY7B/yzcVnrloZ7ARt4FRvvnVTnrJgDQRMUyE+HwXuPPUONKYLt411AmxEI +jiL39idcXjld1fazwVGUDqa2mP/USbF+zHY1GwCkiS/gCrXGQMu6dtjnz0KUrY5PBjAsGASCyOKV +6LXApqtYG8YWipdj1M2I689s7VJ2Zn3aSlSlADXo8xrhXbQoV9ziArUHSONucYxU91Z1XH8+Y6WD +8tiUs61a1caHhezEx58o0ef/TI1yr5Lc4/xohcwdZVrfO7VzcjbUI2XV2Tx55Ht9PxkNelURoGxr +JvnLxdyLh3qeeHwPe+qmnpu1vopMIjDzs9he3UwbVQN149zNaxMGKL+umwMsoUDngIYfZpftl22k +o6ieC0LyOGA0Fq988bqYgMweJBsdteWOBTkEiEsbVZKqg/wWGBd73Qk+mY4lQEHHOXar6CXjWK9l +5Ik7ROP26slFIl3mI7fFo9w8dhAi9YrtB5Z0KRYffCyDQHcwqz5x2md23CC3UTZEirhGRlpBUzNx +ptiHEO0oyn1q8jSf14s9+zr7pqcMcokM0o4UNZBm1tWz30w1lXQcbJ/oKYJvgM5kVYDZeLeBwNx+ +l4+xnsO1uVXDSB89vbF9A5lzqc1Uj3QD1Ei3oCD8B+G8a5lGlOC7lQMWNXPbfHkQQQiouXDfGsSL +Bq9f/2cjbbzWg+3bQy9iM4AUDtIO6JpnhSgZr1CV4WY5pnmkKerXU/NXXaaKvb5cejS6n6ZGVO+9 +ZAT1HoM6Iwzy9eI2WSDf+5/pUZjc0dY4KJzIqQ3nEaeNPuvASdsI4c3mXmC7yErNvvL7rodVxm4c +VZjtLXF3ePi2KKnZVhM5Vo5HffM0qYH4g06Uj7RF8rNP1H9yU1ubcS629YOGg+1Ro8XIFXm6nyVu +MzSF2mRWTnYudeyw6Eo7PtYgYmYfogZsHedS554iVZZhQsMQOJPv6dgKkoqQDDD8dc8aqGeZLYDG +uj943ETfnj1O/Jyv75cjW37z996+xR0GAySjlruEmMnUlEcrIdLRMzXSOP5Ane4pmreZqai6RGJ4 +Lp4lSrfY3H9mJTovpaT5EiZfuJTQm4vqDNPAYO3abWJIAfKa+Vb8nlAm6tQA6QtA/AG0CR+CRiWK +ZDjp87b8D/dKhAZMY8S3eC8eq26EDbrRNhSitO24y5NVMAfFjPbiKVRZ42z/EwWka6rTh4UrK/OU +QSvxEk4cc478I662y7NqzCwRULgbW3lizRvHif9QEToo+rQcY14nubRz9/HXVRAyUtKhGMQpDHPz +PAcPX8t5L7PSd7p6Am85F3ypqfuQbpexbCrX9z3/xNDNWvc6j0kKkQIs70PueREHO2pOt6tZHWav +qGXmsDTRqSxO5vNx5TSZeq0ArSI5avOfNavOucbmKL/puf56NmIv0b5QHfCsRZLw5zGFFSQ8t5qM +aEJKKLJIEn+VpzZIVS8UlgfK2XloYai2gxJL2kBIxzoDlXwDXNy7bPFaBJ9v2HwJm4ESdtLN3hd/ +rX7y1S0xbwmZP1SiFkaYs0DESh86LANhI2KMwjAy+0Mbfyln/tt69+8sT5IxZJyurG46ynT6E7wD +1jqP+82hDmYgwnu6rtAy/yyJT+QuLftf/muYItqnVrHqzpJlemuzxdYlf54EyTxcAsaTLona63YE +PUcBAEbUw+KTYF4Gb1irWDMgejdQzLPaA+iTTsTuOcGycC1mZBPjR8xrwxkEDgYa9t9CKLW3l5OA +YArfmztGnsEw2Nz+sEzErEYhZkzSltIZLyLGbUGUim4lfMI2N22FcKrKoDiN5RzFZav/u9xohIsv +2z3v3XIf4Tbb7Ncf9lQIIAJokGalsaNyu8+tiUTrNV6prhVStszNnyvtHxSuI4zqD1B7W1+3fEHL +lOgMf1434Dgctb7J1R7fEviwc9Qs/UY+kDZZ+RaZmAQbdxpZwUruFhpmbaZuY8mfrDjRcTOCDF8Q +3OnGlHw3r0Iji6ZPpFTqF7jZ3w0C9jYtZLKYGhb/UNv5RAF+qL6/aCLusmcOCeLVWPvN5zaG9Q1e +Pz6bKYy9zyb1zoIYty6ZhPvklNz7elw46KJLTmV9EB8k+6iGyl4FZEpdGBCJwpdBSQhyICic+Xhf +BwifvcoqZJ+Ln9Ov10DgNvwsZHkOWCZ+bBIXEi742hzycQDIc71LDZIZfQDJQ/t22sXIx5Zxewke +NEaCj7B0k6+/iHlcL8XJY80GLyof53J8yaeCoVK8pM5DAfDSfeYltYv+D5ty9LL5V+iTOheZ1MNS +LIXQcwcenRTU0CntIQp2hC0IIH1TpCY8YBz1WSDAawGF//eFdeK3lGCijAKZPTdalhm5DUbAcVLH +/ekhiMD4xTLCD/fwexp+WXM1E7u1NuM+WeCW5kM3Sa6MN1lbelnbf/UjkYuGE0dadx04KSZno5LZ +kqWWmK7MCrKIhsFOaWzZ6b0GpF/yX2EguU5L2R+OlaJUl0ValSkeHRsbjGyhKMfML+KVFm6p1g6e +9bdTB6cOYy7mQx4hIbJn1HFkaRiE1SM6wEBC1lBdiQdzQJKh+j2pF9BknokHTbgSHPAWAecyaG64 +FvqyZ+JFbW8Rm+4+XrK7DwnOQrkD/pLnpebFkDGzkWOqw5WuzhCKsXf1D5Vd/43JGnxNzvOBuuRL +ZOfYotnfrAhFj3ANPQc2Qfb/CI4rqLZikOJHVGK/EbbbUYdPua8hytQqwSdTi+WqaCa60bEqFIBX +5rm1VHSg67X6f1P+oSDwvPB29mJFwsm9wt9KgyUZPySLLylaZJiM5BEWyWB44g5jDq8AlZhfHS0I +c4YumFa3+x3kPYiXS4N8cYp4a+dvkaVDMxuAZu6p0pGiCDEuxT9eLGNfAVSKP5GK8dYMT6GhL7ur +ikELR8i/ho+bW1cchO3kbE8mHID6wKjfAcKV5cbPloTso1IFPgxhJ+SjxxDoD//DN8iOvVCHGHAL +wjNN9G1W/aR3cDYf7fea3x7cN+l6ajM+0pmDEyn6ueN7KMzhs8cwLQF6PxtASvJNLF1IWaN5y8Fg +Zjt/9laa7WTH/Z2LCPLRQ1EZNs6KMNqzlzlpAT1mIoBVy1A+x9IVXYcriKj5KckEA8Qa4CjAlIDZ +Y6j10RmnmY/v6L3vSROUI9m+cmj7m5QtHJnvpyLNlJiicBF57Gyu9K74HdScNKOHDxTVdehLHHI5 +HWbTwRRItZP4NXniUP7wm+1FpsQd+LYj1vKeLAErru5EkZoK2mVAR5+CnhJ2Eopu3NISSFBcvW1C +LPvUXAz3avly3RgAs8P+zacSdEEzjO7zU4WwcALLcuTdux3K3hz/EkIx390zMNLHH3AMJnVfdxYb +FibMaj9xvTYMT/0L8QrII33QNpMnmviXmeFSAezg3QJqUoBarB0SPwVv7++nFIoAdleGaP1OEmxH +SujYtky0rNC86nhCHkPOyjTiGICyOo8gdBEeRWXuSpnH+99bRPb1Wy6BkZdmAIlE6sGxAYkO2Dai +Wf6769obBNyqvjYTw3tyxIrjTXkMFnlphKPpCnb7hkV2Pr3ytzpgHgW7Z7ONPxpxHyUUaJJ4oVZQ +dAtQi41hKQmtPOE9mRsZaRSBjw6TcOJiK1SOS4IVzwMoEL+jCEhoO/s7oasGlfjZaBv2ij10T1Oh +4M4IaQEsD7kD+qqy3JPCB4HN9jSyol1lnP79EmsOJymj1XXVcJTS+CwdpKtGCeMUOtGOe1KDxkqH +hXs5UzalVJqHyK7iiTHp0wd9lnAJq0/RznM3yqoJ4/x6+I7+UwSAeQv3Tgw/f2Zw4KwDR/n7nDtO +Ma1jOhWIHG9jZyle16gNrsJS7cXxLQIjgpxBhihNJBcXWwTLhog/FZKb6KtiLAAhqStEHSpCrNJ9 +aSyQ8el+jYo2//pAc0UuDLCVFvH1R7RlKG0uDlE8ZPtKTtG7VEqSW5ATxbOpxOInnnBN2yddkw+u +7/jJTD9mxpPqtzrJAXUm03+xQZgIb6zoWIY8wyUGNgHJsCS77lczpTWr36QSbUU4lAVvnFv/jyN0 ++FG/NbU4CF3HjOz2U6j36SQTaQ3L5l+8izUTrG/cRIbdLWuAnPBTc1t3gNg4CLmjnsSbIYW8VcR6 +fmACV3EFa/qYd6yQIsJKHswlW3s1tOY/PxvOL9g6UeKcJgDubgyN2M6EkgZ5dlNLI7dzXIxoLK23 +MoT8hrOABiFvPWTzu2Wt8Wpl4mGFrC3i7Qz7daWpxgmD7ehdAA3Fwm6AhxEHZXklNOKTZp+DXn1k +Kv+X6ri2NKBhAzjA7Tiip0xTXUr01OaGUKfqIvrU0wczfMR2qO3ZxsL4aYRiy35ACRDivpfgZHzr +GRxNgbwqZsUMJfxjOrw+E8xfqM+9dKwXkpBP2P5s16rfsdkD0FspsqWNKr06QNi52OS0LEdz1l86 +GSywYmu85wv54BJACFV7iiyITxUdFOovBNjt07nFOE2Pq5WLWdc5hMHrF6vSnR2rnACXBVKUPE4Z +MM/SV1PV0Kg1oa8neSyo8zPlvRWm0mEvQ3kmVOQdpD5Mt4BXGz+AoIC+owMGOIGqS8QTg2nV8adx +v28XqC+KTb8hBr23Zvke0CWmibMrxAzHM9sZJGCJ9H3SFcjx1ncHUb4IiaU5bNnvW/7hDfE3lhlw +OGRIvA+Cz3NGs6HNu1kccr/4T0KfbPuNLYCda8X8GksF/dRRVKmErotmOfVrSyyWr/vscRvIXUQe +nbbbYo4FMM7gbcPHVkBwreZQ6jfX7bGDjQVE+urWsCktlrndOavyHwz/1LgwRek1/434A1e9YsuA +B3jwH/eCb9HsU7e5Igblnby18JE1/ROLN2MHomkIvUUbeQCqaukKhu/AE1zkZ4eVmtON6ik7v3Io +7z6og7/7u6lQKVf+VDXqZvDjO48QDhrUHG/HfOi7WesJVYOwTGIXQM7WXCTXLPw/tbfWf6DkVoBw +gvyarGF+U3J0Z1DAfTCdr2HBhfzTqETupAWyXDbHlGxK//9x1hgQYnxCbYUA4B8Ile6jv19vNDRI +4pamw5XsgRV+i0BNRHq8qmFsRmOnv4dxA2t87pHIhQvE2kvno0r0eYFI133NBZCRNLVLzs4W7kpG +G4SpFYD4+9WDK1Q8h1X4RndmpFc82QMdPBVRVUjVPH5rkrW6NqQK/5nVrT35OVilabmc4abDDGkT +r2l55Hy3MJ/ry8H7QgkJMBqtkrJy1syLtsRbAUJ11c4sbwl3VGtzpillgK8d+O5eHNq/B9R1jkEb +PQU+Uuc/q3pn3srG6a8wonHE66kWcq6TpzooB/BG6oqkBqZcfWeE4LGP1QsrfEsLMGfjMnZ0CxSj +/m1TBZOl/D3bJgAve0okBlJOQoTfMA765mFzsdPE3uFKs3kMT+obdTmGyevIASyzIjwKB+VayNP0 +UK9MLQCazxYguPtwLyC8M02SjhXvOcYfqxACefV6Zf6i7NGPYGtDBt38fntGVP7N1+pqpwK7lOnH +r4IZrgKoeEfGLV+1JpjIA/aPLpf/7hhRFBP0aNSNkla1VFXv2M2Yboth7CvuLGC3yR9Krcxp332C +6jFvoFv0b1gUdYQzHlRoZjkXz/+uN39oU3N+QcgZE/WjZQ6+ZTpxcsHfz/lemx7lP6mrxBFKpfdL +nFw98qF7v611WSGFvPr1jCTE+8ONSIS5AkSLk1RE1kmAElN+pfe6ADppDIx/UJufJ0f8IUQVvAMK +3m1eoljPQkSAE6JCEFc64ISbPy/dyN4gRJT0QhB9f2sYPSFDseTCE3g7RwbkvwZbc9+Uql7063t9 +o/xa2EAMkf+tXwvGmMQRxx+J2mePsk3pPO6BQxIadhOshG0E85B12Qs4Orve+/0cakRcd+bvoPnF +lctOwDnWKIel4Vxl7mXWYs/kq6ucdS9upKl1U8YeyxX6i7r55CDoG03twGBO9dHCCHxjwT+3wUWn +S3aDerGDCFm3dxLMscNR4uIOw1bpwhqXM2xhQpouOR/AYY/3o/b3WtpqsxxpIhXBHLel2HC2hdTm +TcNuUqIb7KnQMIALNtbLO19A1vgOjSr7zvudj5rTQbMK7wgtXWgk+S85SmqPW8nbFraHaLc8eEf5 +f+WaETnrNy0y2sudiWuGJSto6D95gP11I/vCN6NN5VcAbRZs5sb2JaB7jR7tbulenkrp12bYiBj4 +BmnvYImEClt5YIeiDeFBXOOoWTZV1pymTl/LthmqjiIvfjHvgf3u5osDigTZ7DvCiyrCvJrN1ZDN +ppzCaWVdpVss3OVHyN1+jfJM0nleRdYCRrk9S9MyBzFa/i/Wp0iy3OFm67nOBu4iaiAEPsDlhSpT +Aq6LZ+7IPc9IRLSnGzkjTMcYoqe53w6ZF2nCfirUSh8obyvwogYpgU8MsGAGBHYorGrX/FRQkwMK +XjWUSsDyQ8UFg1PfG9UQdQWAgEr24kPEBpeAzRiiapjb8+Oi1josIY49wKvhLa7KGtGvaA9p9yxJ +4k9ABUW354aEuXtOM8JfFhG3C7h49wZvdfWgat1348GFaXfE4Em/wc1fCt4lH35nCnW4zoihlB10 +C2yz8RMGlp33mr81JsvG4sT6tUht4KRUT04+UxcANSK5hiOIFu7ovQMnLsPy3qMh60lD6yfKl9j3 +IxYWC6RtGJ7XBRHDZ2P4KLfPC99M91FCcImTdNnbpxwj97KqlI3wEyGAvipY6LE2FOh/Y5GL1bWJ +2+7IDiWbjZAowJ0l5M8A2TUIMV9G1+sYdktziBzcNSuj6zmWzCdJzslvaLOfeGjJxBy+dBdRLIDx +JSrxgZkbD9qHuiqFULepvItF8wzLruAYEJFM3SjuSV8AedihnaR8shRa6vSUH7WmhGdxFPeWE6Qa +U+x78G6cfgb16bk+b9DpdxWS8uYE5zDcpZaMpDP7nJWqXG7dYLSK83UTTlDrRHC15ztpK9vWjV6W +UDqLpaODGxFgg0F4lei2bqw0fUcEOJLwYuINlvedDqyDL5+N7/zvDBw8TSKKmOxUEeluzb3nrtLf +9RTPK6lSmzR1ftKqkok0ha73Wj03p3V3iybK3oSsIQajYB0jqELFIAF7c/p6UZqLPgnciluXe9jP +bdm1i93HiggehFSt3bvq7vDTfd1+aeVyv3aNH5lDleY1Xnsq6691ffmGsFKzb5zTMgBbZT1sejvT +yZunCAfZ83x/Uyf6ogKFy6m14gJu3gKvfeiEuhftTnPJWrbB5RzQypUhAxZt8q3nn72Ike4NGUkj +If00+d5g7yTPTDEtT77sYvCAyeC/oFuKXmSrLuNbtnvKFiTC1hewsLlKxRmjJZUJimJR6+WJensH +CFVp0EFaIlkiZJmydLfxDxagxk2ODMKNBHFS4kjG2Gp4JofFbKvNr9sbnHfcmm7hgRvX197Vr8U1 +PitSBXXolJ4n+wR1tBvmus0B6gZAhB9dYxB5z4chsNoJgix9i6ic6HY5ACQ4Bi5wXiejpWq/fezM +Pmnyq4KXExX1SGuNMP/+tBUPWDTdoWvF/G8R+DTyj6MgUK7vCzfA5vXzrPqr6epP/MpiHOa1N6w5 +KNGvShqX5+GYZQ5bMQcCCRwWmag1mykw6COAkX+Ptp9bo09Xebt4+Lgjuh4BSYidoDbpkOry8w3A +9LqROK2wZSHgJSqer9UqN/Jf9Xw1tzXc0axZ9PuU5V+zCf6JLocw9kAZj+qGBO2GGXDYemPYMbun +JGrVGGIph002MfNrFkc2pL7AvWhqdBw4TqmK9xrvmmMpIS5vJq6qj38ZQnw5eFQVl+qdtlOzeQ7e +145VtFGKH60d7z/AFPxU9k5LrhkcpUr2AyHvq0QyE66gUzCpU6uiLiHoOMqJiwR0Lc1v5RVc0tzd +SOeMMpXfnH5f57RYT/zRLgcyeaNINnmIZHUgX2ZC6i26zA3cg7eOXazsOtVUPNKrKr0ZTcn/7zuv +YySU0PoukykU6oDGl3S0n52jnD6rWalk0g9K4MLH9Us2Za44MPrjA7J/8sq/HahZS9GRPli3BMSg +qmH80g+QxlbO1TuwteIzw5+2XaOztfSxXviCDbTWd9yxHWMn2jppNgjcjAkGdVjWUKEseY0EwQN4 +VhnUo8hAn0yc5WyThTaZXsIavV3Gd4Ls+p/lG7QK8wV9okgR9tAcZdQt7KKPE3N3cxr2YquwOF2U +f+ioCAm8IzbutTMSy1HFGU14Vd9RyAR9FF+GcQ4mDcdZjgH7aVaocc2qnNUn8O9tH34sGXl6YC8p +z9tJnJJl+l4BnZ1MX0Sa+NvsgX3E/oauGCTIyxzeS3ybapUeHyYgVC/Gg4E4PPZXKTUc+KAP0gyu +B7u7yUUq/6gqRmWHtR+/Ot7852r4Bo53ihItBFu0qzaitgJsOPEOB3S1CzaNiOyV+cdl9UeTO6u3 +g9VIJ5FHCC1P+noolOU116eouSeLNeHM9ygUB4LArd1DXm4mPAshUtjG7VMP2pGTPoyslp+JZCHz +F1eQ5tmqngGs+lPYquA1YmBnkOeqTWesuaXtUcfDH4nT3nqfx/2bUlala6vso4+5ZZqQ0YM92frm +Et4CLa8iFqZamDMzbjhsaAERcm8/1Bpag8KFtciW1S12v77+CfBENbdvwlhKNAf4HLsbTgdTBF8t +dPxdMb6qWsY5vu6rnWA878+bl7C4EUy8EBB83opIgzCI2falY8e2RL1mIc3b062C4GTugUhEea24 +K7Qp/DGGtMZGDxKEQGgORQ1iJz3r29CtmdSrVM9qhE97vmOgWTYDTrqdUpZwHAx+jkKVW6bG5/Og +SuibNiw5fbGSn3TfMIfoi0Q3R8BYnzI8lEByhDEKGDbH446ERfGtUT+l8Q9oBoHOIYGAEy0hc18D +iynlHIz+mGH4YFjJjcfCaje0DGsRtCoV28P/L4tvgf3Y/S+9umGnEjKM6GI7+sgTRLXcZPCSGAx7 ++unvXjZzIXo7Az3Tf0IDx71++2nlIDxJ4R/io6b/KkoCSRNFpHDf1GdXNPjlNgKVKKl99cKkDCoX +fyf+0aJVZfonS352ePgCZLM82/aujEebU5JXQZHS192YRSH92pgJd09P93amHxZZa0C+/eEny5so +IwFO6O8qBsEmldZkGhYDXCqKnVtYoGNuG92b2zUipGKnitPw3ctkToq9JKa7j6Zi4XWKA65/LzbM +I6E6OpaZwRSA20ZHwopRZJYS/LtQtbH4yL1jD+IDAbIdg1WU1LWrHHE2RHEzYs9p0DEkFD97Z0+C +0pmDbUA0MVA7E9D3dclz/XN+JfQsXvSGSglHbNMv0nHljUSHesdeQjORBNqepVJMEHb6rrECO7yw +c7rYJrGDYxGGIvvz8F/Lip2AVwpMfuECNpt/dsnPKvwuGiZaqc2bpMi453LZ86qs/qVubcIZ9TOo +DVPOz667IaF2s7EZQVdOhPf7dtbpmkmFv+drT6WefpeEVv89IF0dxqiGYXMFuApDX6eV8Q6I2opg ++xqOHiRX2j2yDPTS2cet+RotFhPn5n1ZWVJjUA5lDN7vxCp5ioyzKGb+qcotGAVqnlQP9BlD46HK +Dq2fsP3GUcANdsM1qGl9dmNihsUwSFgsVvccZ1newBISxckUjwac77LUJwyvf8sYpQoMB06jUq/4 +4Y+RzHCQ332QC5XmRoEdukJ0LhqizqcOmVkUW2Ntw6w7cIPrESaJJ1Ko49FLNZYWns+LJxWJnmdl +4nObvnX55p1voWrRwRjrbefzu3k5gNTrqC5XC6MAZ1lTFn9qm4A3CpLh3DsIPS+DfHfdsSa0vmbj +xBwmGwe/g0iKshNPQvnBOHrzQ5lOOlCRTEBKUqR6AdT6df5Ih0stswjQQxwh+fwgtToUnTCM1ob9 +N/0seDoHzJzjhYd7xxTT5ab3+mAukMeTArVzj46JzbEXLOSe7wBNt7bhxUDIfB2SxNFfzwaFRpND +LD8u9vJb+jPEQBE8qdlOY8X5JmSHADT7bKVSxbsJwDTdUT1gY4R0ceDkXLkPEnjUQYU+MIqJLkDj +nMhl2Qq3IgKbv/NLAX5hPyoguN2yAO7GyRfsa8X6Bxi7FLiwq3mCBEtV9i0uAGLGfIuF+zcyxea7 +CSH0P+ML2lGkQMSvGoHe7nV1rBS16z2QsgRj5glRtoxZXZACKULxMvfBYtqc3qZHIW3Q9cS0b383 +Lc3eH9j9TTjiratZxNy3X2OtIS2MJsb67bIBNDDoEub5z8w5aPIYD1UyDy9pdVRbbiURFmMP95hY +/Q5D5jytx90tXuuS7E5hOcSVwBCXRGPMFpFQAwiEijjJzp9s3VyCxjPVxFKc7fGHRA7RPhJELru9 +3e/BKSOgOnUJdSGHQFxnaqYlwhIHzolgOJhexn/wll919TwzUlmEox9tjjlOdFRS9tV/yDY6QNvy +YP+yR7VFOrO/jnDe0qMBngsPxNdKHKwpCWLwzMgJuoIaEXihR5oXnycktecomqgDuh8ruR5nF/0j +KDmJobcCXgYZIXO5634fjo95SYyvDGZLJnf8Hm3/O/KjMhlwhs06IlNo3/YFZV+R7sJpZWK6rMHG +WMQxqTMhUu5wf5xWs0AEaEAbCFy2KpDIYUV20g+kcHhi3llXYZnBlyXKcD+9jXWsBqKMEKsQhivD +1hQ+2uBWxy+bZQgZ4xuLYf3I9j5MQu3fHWXaa+5mk+sGOSVehOqTe56bW6oFszjObK9KpzBoMD0q +HXRUHUAHTifMOLO9zWwlDiAFFwKy+ECE9tCR/vZhiV+L1gyr8rjy3zFqmGBs3DMuMwkJIJh4Dnd7 +ki5EXoShvHVxOZ88iY6sz7ZViMrxVYLZnFwzYhflMkobjMUQo1jVnu8BeN86XfUuGHveQQidcRLw +wejQFJJJTiz7vKJ6DJbQcsMbucyLPM21H6/ANWDCVUrovisLs8WQBXdbAnSicb6t8Dui6+70Leqz +7hjfKKzwb7/ur4an5VUQBOrKW41wtRnaGUAUb115a2N5kAZR3HDFmKjHXIfFnPunD6Cn6bgPJ/pG +2o+oluA+yVHviTgQfys1SD3F29vu503YrblvidVdzs1SLR3BPzuAA7a/KZdbPtbSmTiLJuaWUgds +zWjbFjm4gCW//bHzBfORzHTVaXE9jz1uiEtyRjB6LGa3pwti03JCSNV9YaMMKw3fYNsQFsQ0BBav +gAcmWXXFR/GauM1okakka4Ta7ZTeOoMqLpwGPJ/WxkoPYcTzNghlLd9tbRpCTxvg9VIXW1M9DT/N +StOslOdw7vm6iKJbZEGnJHDvhR8Um26dVIge7MIoe1Nc4Rt9umF4ANn5psPQuAs8SZCuNDGNTMLC +uD5kl/QK7g4BOaGXFtGU9kr7IxZWL1SusSguCMFajblzIK76//hipX72VPc6jBkgM7uHDY/3COMt +r2AC3kYXO+9H6wlhMvbrmojJBrPltcpmmqIV1PKGHCIa0OxLV5v9gyiQ0biXZDLOf41hv/q5PmPb +QopxLdLpUjSV6QGcYnn2mQ97iIBUF+0TS2tZaKAfb5bWnMckw/MLEwudc7Ii6RX0GkuZ/+4vwQJ2 +1cOz6n27/nzaHSCsbiFYgBrl+AENUodBioyV2Dk0zmPZ1ZpF0hHmXZlZ2bU3mtp9nZkI6XRp3JRI +mbt7bqRe490XCk2AAHK3a3SBbA/hTGyofcEvjltK/sFh00frnT8QXgbc1bSw9VWCaATE8+BJPGJR +a/+dPrU+voph6Ti/6kDx4XzJTynZeZLXruMWGrIPPTpECUt1MIVQZph3+8EILPDxuFaACp+coeBS +QCnw4T0Cy3dHTOtCWpWbDG47H9yTkNXH5l0BtGDR5AwJ/OdqqwCKMXKiEpQYhxILSUS4EuynyBye +7sAJ78w5RjG8rTdb8bcOy+1UDM1Sow2sJe0ruEAy+0zbQfyzHhlvqpfjg/fPpD8RUmbspfbzPdsN +Phptq/WN2v0JEa+TJp9p11Jo6DBeadxDtDpqsE6Wf/KDdkqDu/1uRQVLTcdI6Wf7ckzQNP/rsJG7 +l98pXseIYQovaOGNP0gc95dzU0diJeJnb19LO4jCEfVl96jUMOMwc8xbJn4M/YN9pa63z+yQhaD7 +Zbwh3ZO+qkLcURnmHj1rQsqMgvX5mq6QrzCw9fmLGuhS68Wp6ULy5vOV/6f8d2WwDBiZgn+dqBhF +hG3v9cvkLr4TB8unermN0gnNFOKYbIV3npMM0fq07KKjGp1mznflwdpHsOKl4tEeahGcNRLYx3uq +TuovAl3WZTJbd5ESh1TVwK9cHo5ycPIeh+4WssneXV7tLDyoB373FR/sq/YSX958TosLjZBJPiDx +kvpxyd+IcL/t+w3Gedt6kQ6miymANf6DVjQvOfYMdp46RK9N9HLMrYQpJ/8jyZR6iSvxZc27uV2k +XEEVxnwqixxvHolPIP0BsBIPnealS8AMzWlScokhbPp1Mm53cwYHtUw08rKAp9/eqIRem8kUt9Hw +N51O4XfAecC2XYcaGqSwdh487TBWBDNzR8u5JsritjSfPyHRZPrGLQrOeMXUjecvRK72CORkfVm0 +NBDafnNMggdUZjruODzTcoo2DT/GPg+o9nBF8TpTUMXIoajTftvbP6mVzbPH9xe8Zf2n859xKvU2 +qn4Cqm97bWPNp2xThiikSVkOaidfuoBiUl/Nh/41zkCvLfWna2HqKCaK+b9bbLeWOs6y4XYuSdVZ +Bkj70v060lhUnjm3u17N3pw9w+o2P8pdWG4bTWst33+3jwyMYtJcVt0LALLiU/JD5w54CM8MOB2s +IJRjUR2SV+f7mq1iJ2rS3N8fX+HZletsjbGTMshgKOLZwMC2B5GmNKDUAgFWFnx+nNAzTNAn1gMG +QrT+I8ObEUhTJL2stFYAu77Ehfpn3Fifbacg5vGl/dkurmT5ZT/zmZawEFhE5uqhyF8KHdJ8U36a +kvzPRvH4xzo6aikcIQZ6kwUB0DGNauPJFCxmunjGgc4Y32jKWOt9IcBJcn8PyCzdhRg1DFE1u4lw +Hs5CXNrzfc5xIcVq3bwSOfNdmrB59mx/iQa6SjPy+AZ1bWjsvk4Fsya3K/WFoMrjmTcuNqgYN92q +eW3txqziQBYumoeljvvOqP4FLEKQYjadLC1JGSUU6C/0jI3VrjmxHcW9Mod56Y7aJAMJrJs67+A+ +LXfa/Ox0vPJClSk5jyMbu8Hp0zgyi49WPvrj2lQY6bHfS7AgJrGA8u1kgxVvu0neZWD2UWph1A5p +II8zUfR53khsHjGMCXGK4nM1dzor+lEj1iiWZc7gqpmoY4EAkzrMir+6s2LleXVVNqSs0PLwKkam +rxkfKqMDWwJdba37Z5L5LUcC0O4RUPw17d6c3SziKcG1s3mZTEOPX0YqV1zvRPw6Xsdhe6yrcm56 +EvRSO0j92SE4YP7dBPUJM1Y/rlCQSoGO+xXY8l4w5c6eUOeAZ7gCZKsZ2R2TRvS/QIjZHmlihvxa +eRNAHGV4VhOu+NjT5Yj4pPnl1DSOH9OgVtP3IjwZFNKwdM7yge1AOX9ynDt3vx/IJL1GXwGpgKZK +0OFdGS8W8JllZ1IKGAiHxxPy4aBtoyGYrVURBS5V4i3VklLDNtWBvtalAyl6QALnqybCs+O58FEY +9NTR9d0QFY5PommyQOWcG/WPuE6+7HVorO5AaHt5ysfNarpEJ6mjhjBuB9OHUh6JQBnPJltAdLBs +cPHM3Sk2ATz/3vmwF/H+2/aGHiUjD8xBOZ52vzui9oi7PRoUguTYPvYsEC/JZkLvzvfDWl3ccQJH +sTgo2BBfsCxE+83WK6RikK2ETTs54Nvfaw0EsaU6a+uCJlTuA1EwhkDZ71MpmPQjkMmc2mWPx67O +DLGgA9iq8d/f0Um0xet12hBASa3wQGTxvGPP0drJmwXcy5pb6DXfWLafifMSgDwuh2wGfqwRGtcu +1aavKgurypJ2M06ZzfcZ6QzCoHj+yeZl2534ZlI7gLg2h23aBiwjsJByapX+TlP+HRWEEY2pmErA +UXUCHa6wbWs6JXHCSCfiK2XYmUuAWKhOJ1bQ28zmM7a2OCRPZtBbNZWetK0Z7+vn17+/tUNUdf3e +O2p5ogU589rrK5k82sQsgByfA4LmP8PRWBG6ZLfpRoYWcHIo+b4Ab/VHoe9A0UrX+BlqTM7shhbF +vwMxifSlRBS4RVD0DNyvk/GPDAPfpJrTJHqZ2x4cnbPp15FIdxu5rHLR4SI3zVfarWIowWzkL2DG +MTATLQLIy8SXgEjw0kYiT5nim/wDijSinDUadeUNXGfmfd3wrqw2B0WnYUkrn2QUFEDdoQJjnsXQ +D4zlzBEUZDPQoN4jWMVmNT0oj/b0ExjCN9ZeJ8zxR94Tjws1oMnj4o2C3Ws/v9ANKroIPuyqUp+x +HW4KZHLs8WGpEwfufEcQ+lgOdZvDa2LwcTTu0sJeAg5THV9DPuZQE7usbp+4ilekclkipP8PapsX +MnG06uXCoDbw/ABmLRS2dDZVsbngR9Rc81829e6csShMGYg+n3VzWNkf5azTDRI1retXZ7fvH/Dp +tMktec9GoN2+J7nqP3buOSgbiDpiRSgX7PXS88WIvGzA2c45/UyjQsSZGXnm8LN/M3miLFirn0BI +h/mQO8x55B+Jwu3IGQfWGq+5LcM3O9pbQV4OMjCjMl8RXpjFhG1mr03Mopu3hGVPsdEkhNnTVqlA +pIpzNzJ6jWnoq1D9j1X20LenCCuUJma9Ff8ujePcLk1dRyjSs/2kuWxAeIdiIFxlrVVPh0r4P+HH +XeiCuWjksJ985IkPWy6d0SdbG/q6bW0dm5WDO4j0WkcBYfkh4dxF8MLTFn5wI3YoR1uYLIDLq/nK +7ALQsCqdgdGPoiFP0DijhDZoAW8GfweIrBxb2NVMe2IpVulUs9WgV5cU0CvIP0lDhP3TIv+JDs50 +YDvmm4CH+MVxvueiZodNfxyhK728i0dhAftcu1EmdlM/d76a5B4/8v4iY6dXxmxRwvdMqdOFFw0h +F6nymoFUBffHSiEDHCI6nYKlYGDfshZiO+ccUg1DhfKJvRx9/ZdlrNO7+5rZW4j/mmNdH0WwECsO +v9wmJ3BtcNITkUUadec2K77muQJxobqrN5UIDmuY/2Gm9TkKM0qYn+2qxrSZLmG8sQtpINfila5k +NhpccvZ9uNeg1XERdElT0c69EhrKrON5gMSEny0jcgFyYWsUCZYjJErgA1daYY7P6SG155f7Etuz +fvuUhovjyasq8JbD5qeLbKSh0JGGDB0EsH9IQorWTCKIayadpzssT99R8EOr0lJdv8vDX3Qs1Fx/ ++PpHqdJ4R1PFuL6Uroac+modzdPnudPZr5zPH1ZgNi6/v1mnMx8C297/EDCmzxXlHhtPtQURPusZ +ZDuxjLKulJnAMmvAgzDykXY++jl+sU5ctFKSJRfhvHHLhcZFXpe3sNH9ABsUzv6qZYfuKpmLCQlt +Fpu4TQoo9VXkYe3F7sgxnYHRWe58IkZLCA9fQjL7IAJ3t0gUGKGI4YdFjGsuVad9pjVt8Jdcwkc3 +cNuJ+nFmoghXeiqKi1x6d20Na/OgQRwZY0rRMItb83TAdQ1c04609UdC/ykWFAm0IxoZ1oBWLlNl +hxisCtzu/th9v9syiLbH7CSTQMAKLTcyQctqqhP1mSEyANYZrLQF5XDDVkyqshrYMSiRvHlTiYvi +v7eWsadgryU/PubzBvnicpVYq0z8AR6OjlqFeGy9QViyG3+sdvlbKy6PJILR5X0Kd22+y+uou1PI +3C7EEnCMeCq6ncRIguITtHYlH5E9jc9d381wdXS//nLUS3kaH/QtH9HFqz6sCQZfKQaCNOXSXNJk ++DA2HcUd4l5w9+3xbyFMbyevK4R+59FNTyRHoLeKmgzUxM+iQwa+r0c61u1M767/gb70OJc5Nu5B +7QF4LktQRwgAPuRxlF36QPDJpMcMWk3nt/lwUoIkFd7iFXdAmpqvx9+NbkkNK/KrSEdhk793abvv +0g1BTQTcy16Cd56MVkhTYEUW0PFyK57e71GNXnZ3WjQzJKBOTxq9nEUyvBId7S85x7y80H7t5ZGu +Qa/4iCjC2z5IN8yhcAkEneT5xGDeazQnF+AMc4Jh7u6ud/ikFkAmSCb2Q6eSEYXfcB+yrkCynOV9 +HEdhPJlNGCnZUjdW8HwB+N+6vcnCYVVZbkuigA5k3k4INrFSEJ1A3LYJJm7ZEnYvhO8gHXUmjM5D +DY3OrucNkY52AbUZz8CUWB7WIa2IhYDrVZT4TPhcvYwVcgdgiYDDh8ctyCBRQiwfE07ydfTWjiHd +I5RPsUpzdqfW1HMvMytPCovG3fda/k2cfbRXTJii08meUOoMkGMRnBIsUtz5bc6nIsoqbNb/nV8F +qkorBEusjiWE05v8OIy5ruKM+yEP5Vmh2Z2bgYhiu8ylyAE1nGbyvACaA0i319R6VYQoFPvvS14E +LT4LZmb+l578wS8+xsqfVkqGCRCAuH29SBxl6qcqzf/jZmr/dgQKUHsahW/kWaTRc3qzlOoe/Zo6 +m3rQxRqTj2nxS3sEbwXEyS2UyXhYq2M3WMZOun1vULmpIwXMDZM7HodcO0ZHIzD1P7lAFKW0hQSL +JjcELYi9HZJU7s7tSPagc38m2ZJvFp0YX3HZgC9CICRErNoDma1fxDEjnq/4NLCUTiiVzxa+5c2l +uurYxZhkQEIX31Gloez/Kva4YnenkCC5fjNgA25kaxglNxUThgUMllu8YOGJ+z4RMYJyBo1G6F1F +agLEGCEvZSxi/xNH19VtjTdW97bvMj+KHo7t+Uc1MKaBMUWjw8w301AXz+VN6xpqbnlceKTY7fEz +R23h6azSxDrZ7acrTyk6+F03l8faGhrupvLuU0h1JeswknUPzkKGnRHFyPNfLIFAIXq3YqLqt+4k +t6V63QVmskAbQMryM8ZgyGQQLbfj1uMmP3Z67Ka/b6NPgrwc9G9ioR3C4M6VQf/2XqvQvXtBoIH0 +u9rz+eAnJVgqptE4OApURk4xn7I7ObI8bLJ/44ED1tdr2iUebnDFgolWx651U298atORLyIO54Vr +5tuVuFvDu4TAd60Vo+a7XJooctmUFqKt0LSeGIym1qb7taLpZxAmEwUaM7PoCJr5H8PrYkY8iO46 ++HnsXG6+gRDQv45ov7PO/L0rbll+/s3Y3XUuNHY1EMzj0/e5zwaDlDqSEiDV27Z7pi8O/Ao6xdHK +vo3ujNq2FluFhyP9F9HB5SzJpXSS0tJPW6Q3GHmPSArYewWCrxmXM2vUBWAuXYrbj95ksmJLZHhb +JCDeoWzqgiloOwukTWctljwDe8xgnRvivC2sgA4k0HvojQVzyrpZ98LdjuEv2XDGltTBTr06Gw5Y ++9d4h+dTrEK47xdKiUR0eo/e/+htBVDs9k25fdCFkiplA/+kGaFIanxVqQAoAbGZG3YguqMmnWjt +lXa+Yiry/Xm7yrq4UnHU1gd38brEHG8ZNOkT7k+eKx4igQyg8HeTuf/C3CYQmYhOdgBpkZTtWl5h +nXvrZ/sRnc9Rw9uM6cWcziSyZVVIS3TXyepwlgcYnwmu95r7zqMwsNDil9El68A+tJ+GqqLf1Ngt +nisK8P4p/pRS/7+IhhWB655aBfDvzcmSEJA7YVNHufc5J5EakNFJE4G94W00uaYDSfloNDlLRngt +xrT2AMhPFKYXGvjGC3omP1nmXlag6vJ3NMgMiq3jeqKGb3HDK4JWnBA1Mob0+MbvRfcu3pKF3q5F +kezaR3D8beNAFufijdkoFYa5X8RBvHF8L7RvPvSbI+TFx2TFxATm4Y6dBfi7eNjiJVIwV4+ClP7w +lWmmk+jFvDwq3ph0UvO5vjDf3VUSzw3pOCK42W0PmzFEY2n1dZVv1Lc3InA6vDuFnc8YauQkjpvZ +eHoz8pmh2qTUcrySL4qHZoQ8BkeH2T8UixR93jiQZc95t3ncJ686Kphzcq4OC7eRXeBNBrTkrBgF +kELSgXN8FqTAPEAYm9SXrpyagvQtcI/xPOTQzDj2YGX0C+97LgBQOK6/GKBv0GRw+EcobKtntAo+ +sN+EForvufhcZYphaXcDSU8WscLkUfnBFp5wz28RWAVCyhWx6ufJOFg5z6JFEy2LcViksLDDs8YX +njeWE9j/waSANFyZ0jAl2wjN05wV/0QaYc7p7GVT0hVQrgmzrzZ9Dpfu3h95vtaSkY+dH+xCNEXq +a5H8mu6KU0UeqgQGceaazy55g1fHYp21AUxdNG8ZeHm2h7UjY8389xahmOg1FLf9ePRcOoRIpXoy +MEvDk5QZ3eTqe8RRy8jX0NfpEL6ABzIWB6zNYrrZrFQkOBNE8HpAVDxHY3AEV8wdYYTkGoI6NF/N +rPPWvQR1LJ2Z/A9+c4Lroz8RdsQzGA2m7tCgiGE+Pt0QCTzREiswCXxJEIYWi8ejfHjji2JZ1jp4 +dZfs8u+t9CeePJHeU5DtqzivjBNpC/zW0ZUEmQpB/pS9NeP1vY7ysfkaHkOIrtIWdAIEoUcxvTRG +1DFuD0Wdtg8S3nwQ7sj0d1qIUx6Qz5mSeThDZ2dAwy/3uvIHcrn+ivgHyDKcd9yA0TeIRIygKkgn +LDAps7AqCDkFKzpIGHOVz4aUkot4+/L7sJB9eupx0Ai8FhMWRBtmuT2wjS8LfkJ0DWQXDA/gBITw +3Xxr3mMC3vAJkSTM0Fa3pJalH8jjHMWo7+WOBdECcAxrzTiRrikNRh6WMnVllEg5la/UZ07BSn6f +wPyUL2OzCTT8dijWJwD/11oflvy5W0VaMibPngL78pWdnRI0KCWmaLsbQecsg+hV5QVn48W1KacW +k3S9+K771zt5Cr0//PqCc+3DOLtUmyR5V4Ew35y3L2S+4xridCCThyMDQ2oBDQ93ZjE5S1jIydUk +LIbiyXF4ON16xFBhq1nfwwV3Y90O/pRPIJgOabScDtBU/6EiGacnLlOxJLOR6w812GOM2qaj+sqg +XaQZVZw08AOOtk5CwXejcuhrFDz3nwUGIyiIjh7wCcttRadwCSo6BX9BNr32MX06Dld8EFoj51C0 +WXKHS/wjtAwICJHacrcV4+HET/S8wppegPEBuhXmOg/PHRjNDurPAX97ev+7F8V6mA2tl1+IhB4T +i1VoRajpF8Xbf/iKTiT2SDUa6iryJQKIcNMm1S+az/h/zY7ICogldUbUIUlFNQbSH6z+3VXI1IYl +k0wXIxPnaMRh6jzT/ulwVuyl9QO8GXzpO9VSMGZ+fPNmE9VU+MXsfjQq0bYqHep6s+3LFLDzqg75 +8mSKzPA6E5J2Z7wzhZGtIZBazSeAcaJzkp7FYGgFUo0c0qyQ1lrx6NC6bpunAZ5MG6/9AJNJQ4qH +HDDHmL+heNwqJYloEfbLi8EjyGHdtX1gxH3TtuzlhRRBTahHR5FCmSg3wu1jLAAGP5JbuSwFV6gZ +P2RGgc96eaNNnG4PoK9vDOZXwCUmBwqncbmThHgcyfFdxL6MY7crZRG/HlytwjMyQxDevK6HswDg +PRqFYsxQEYKZWu79jarIkx2jOTGc5+74qUHc6HKdB/vCmMmJXKPVJ2BgUnrZoX6qlXTZMAOSozo1 +s7+89X/Xu+bNppPNLfq6ISRoadJJtgxL/MFdgW1HKvMhUpvxKMnE2F1o/MxtE1yVMIqztZNIHZqG +EkeEIn3D9fpk85kmUvta0mjEWXuUoTmZ4EovcrYjwph1z1r9OJlUIuELwhc9UIt8zEXINlk5gqUq +POl7QSHk6zDYdLpVzke4UHJOYk137TpgsXkxouDXBR+OUPfAShWG5DDNy9jy0E2aRkS2VBEw6Ouh +yXv1uTOVbFOv4sz5SZWCC70XYanEBq30m7YZ1oiEytiXuymAcHKeKEycqjfotGGxGTtVK70VNEi1 +a596PnfZAvIBDT2BTo7kXookJH6oEi5bN3LIiPsTLc1KcTkaoA6I8+KHcoJiBOzxgd3HSgAq8gNo +dwjy/fV/y5HRAXmLcs5Cll2Xruag0Z9MFIQwY5Rr0pLQ6bzcQRcBIlncHcz/Wb9UGM5QQ6b/Xais +7TMbivn5tws59JRQ5Skdh4cGQnHvpxiTvmhxdpTdXC/tTIN2urMDfAUvK0LBKyZ/pYtycN24Z/XK +L+3BvikJ8k0CkdoaCKBmHgggi6ytYgkG804/UhxTxarMBn6Yq7jn21bH+GW+faeTo2EkGcUjkCZ2 +2L8MRQCxfQHwxjrNguBD6d2iIk7AcZeFXdRLqtUbtgtvKKfKZjGqOoBjZI7CWlW4XGk/8mzM8V0w +YVq91hbCVBfzjvBPkdZKJy8gf5xrivISFeV4PNtrgUwNcdX43GRVTxnTB7FfGD7kYnOX16oVTqmO +NXnrjjM38SKvEp25xoCOVJJRpVpTrXJ7IpzMSn/ukrdzkjYk13hD97zYziOEzis2a+VICi1hV2aQ +92h6bUk2WGPF+8uXSYIdJf6Fm8H3OjT84Oga8skmanQZUonX9nPfckZ2ZJQ28QnqVgsvlhQ+d4Lw +KGaIJq5SCgZt0Z9k+SmoQttAPXLu9WnaloVxLIDYklm85vKSakmZuRcT5VZAMiBl4UCw8XSKRyfD +tNiCOZArW99FiUgkMtnsgZnzmzYZUjrq1z9Ck98WR+JahaEZetEhl3vavhH6/K+ThmIYiEIt/B6l +i9oc0ZZv7djVfXDXLpx6kPzl+yTEOJLu/PhExCku1pRgIyzblxhIzbwdl+orMaFb5Nv9Fdfq47kx +KHA9OkrfYfd+EJQVRymODvIv4QxsmExwg1VlCMfw/naAccttCpWR34KNRBCoGdEbElAYD4RILvh1 +Bg3OnTfcD0jKqbPTp5lJHYTR/SNqTDrFIP+F3H7/MiSwkHqM9mjexLQv31XWjVHWPwWdA2A+jkRq +VbtaeSg9Z53mLE+j609psd92k6xm+1bkwPc21qONIH+7UKc0uLpf9ldv7f/ux91r3SYxpxOzaEet +Fiz1t7i0p+EUZsCgesZXAiTyKZhN/A4/WdZ2uN1d0xaEOYZ9wHip3BbK8wzyH2QC8JD7tp8C8d2M +MrH2dO41A7bvEHnxmDdYdHOoOmljRrHoF2aeiyZriBwXn1tBpTzDF3Wg+1QbFlocVoBtxXwu/i76 +4orK4rVLZ3E7TfG7M/BvcJvWOIIjZC07ewpA1iq/Z8R3bV+mobGITh6XWprI4j2Bbw6eJY2NUl49 +3C+LFpMVmXClS0L1A8Zoi/oHizrP/lcQDV4glOrVgKXEUP78aIgHlvsUkIw5OxTDvyz29HzJwnMA +7ebrIHSwNQqZXU9+9tOP0+GO6IEf8I4jXTjBnhkVKsTS4r8EmKb8dEQUj0uFQayDUytTUdkjRPk9 +nHLfIuvINvi4DIZioFip1q70jNGLCe74fKQsaLn3KCnFM2tY22DiDLuQC0yyZObi1mh9DUPtWqLv +I4bIytgjjHkNQKHFd+tWD5FFMycLaN6i9j64c4j9NxFnkflLPf+T/nGnMN2ZI7Z+T9HfSDofZqzr +gvdgjJM23usBffAVhPZ+rrj1ATbWVPEer+L97rQnO5wsaDotFha3tnzb0dJHgHSM+tHjHS8pRqjd +SPt4ChrTJGKeiw0KKHSpGaQ0tbvwJh5jpZw9Kklu8nsn+1snfFpbxgyJdqyp1gWozj6Lraj+Y+6y +b4uWc1saWu7Xg9wwCndCj48HTj3Q1eQ7XteCehqRAmBx6tMRn05oaiKLn4I+olLElfav57DftMDD +aLFqxlzXLpm1TRKdyQ8cj/9hfuIPzsuq5Ql+CBesQB9RQGymjpBHORYl2sI1aCkcE4sC20VoW+UO +bl7ZIh5sgb2lBHpCcoyNhymJWaa0HC6O+R5h8fOSS1iBBFphpZQx193XNlxgj3FcK3adTPixnHaW +jc//1xqTPaSdqXN9/KGmRLF/Sd3bJ5jnlK5TH9DxpManpa7mIyVmsOK7i1RN0FKDZB7ZPxYA1Kwu +I5ZlW3+AeljeH6+DqAo21xjjlQRuij31oAOci6EcrNxJeSxmLXbP7Z8xhh2WLlRYk4vyFYVIuzaN +h3GUQYMRNeFFtpPWC9DzyqVAXTnjxFECJ55VRko14L7J5yqE+On6Cw1d0dur9i4gc3tp2Jgb4rJ4 +as5IGjakg5k+5yD+LBG3JlW3u9QhmLhw1RJth4OEz4IQjdNMGIFwuvfkH1NvgceWYvYUtHqwxKJs +jVyRqm346i/oK130Mxx9Wo9/Cb85N0zfznwyTxH/W+P+r9EpAsYPR8oduatDIsQflAMjMLc2hUT0 +Qo4KeM4/gTm4XVvNYsX89rdPJtzAqAkRR6eyMPksfl9G/wEAC5L963TLw/2IV69fTr8MTbzCvZMe +rxKsZKvLfs+skJJAakf71LYG9ckYK+CNHqPuHE1eJLhUXBeEsBd0VPWm581ikF90PMYuQLhipAU1 +DnkjpZfpptzk7oP2ggvBUAfMBqGsoV/YYMg0+ehMJDuuhaeHcO41ysHYEEXk86YfhiNbhU473kBC +pNcio4M9KPtD5exvi0NDQ5AsZ+VorJIUW5kdEUT6Mxr667rgE5TiJHRB0+o4MvZ/ptdInpmf7Lke +GTFAYZTYkS+Tzj8HTPfChmLnPtdz951zbtAorJ3jNXP1aKvaopUoOs3nESBvRzjJcmXIuzCc78v5 +tERNFKekM92dNXIen1M8Eb7vg4+fBX2BwbzFcTIniKALQtkHdxWwTGVurWMhdD3+KtbR5mDG7eWJ +JKZ5sSqGNUs2zVkAI4Xc2FrlFTHI7lMyc42U8RsYR35w8v0i6hsihHNt3rK4mydgJ7q9ShKUJHL0 +083L4fIV/T4ClRFnNY2X/dsViQ+LMsvEq263jqY6DXckNSZqOTe7ZXEAmX+tY3SzFbj8Q4ae6d3Q +SdW/vsF/dfjGxLlCpyzWhycYOmFs/U43lrGgkxe6fwYhDtOGd3ZUtR+r3spyJ7lidmH6giDufT0H +N5B7mGvNhKchuOU3ciO2+1RSUO2Rb4zP5V2avBkqz4PD4Cikpyb9AuH7ygwDytkj8a2NpIJlBQu1 +1ORdwxUpJvB2T97lOFaC3ORRRgRiKJfKfO3R7NsGGueAu0NUQum0pr4RTNondVj50u1RNO+yDxnp +znZt9bezvCuTIsFbh2B+PsY9VKQK7O8QsBp1TMsy6nFPyqzg4+E+Vj0qFSl8jV7LC9KR+HapbiPT +cofG5hakZvGM7sFVwNqQet3PmvnC5R56vsRYJj7zRtv0Gh6G3Ow2KOcU0xzUQa5BMizmVPnNC5bf +unYkZ5J7j035SDnvrpy6P3FzsOWtsLP3d1B3xhNkSbD+vNHEf3d1eIYuJuBTR5rtw4cq7CF1azGU +V/rJhK6/ZcRNgFNEemHWI8rCqwhZ45GRSGrWp7P9KLva1vmfuAE/PhJEcV7AEHIJnTzZ3pJFrbHv +iNsi1zonnHIuOFHG0gWTI8pXPSAGfwvoWbZOiXZgjBOh1DKtAhjEu6Ynq1L6SG00KFyYyDSyENfs +T/TgEo5vM5l9ejpKyWsCeVb7oPgtvEWKfyyCYEiYTiBkBN+4EmfOgxzWvJgx6TkujXxImViekM+E +lKl501bYd3gdr+D9kR+oNQAkh1DF5oZzKS/Gh9FD4Q8Qz1RM3zJ1REullAZFOWjAtYYucqD+/OXX +YFI9HGIaHA4+wSAv7udZU3l2vTVZCQfg3Ze9ibUJPmMculvcz02ryhrLqKMl9Yk9ns1ZDiFNJ8U3 +tvSUReE/TINJ63sbxCV0XMcCSVcEkeNXBttivCnZ1AbpZbQdIr1kB7rPDJ34zPCsaHzsofKqbNRA +apqwseaLDoHLrG2Ve9mrJ4UjpB/PAV0k7znKVK8cVuq8pwC3jceEDW7hSYQdQSaxSVNuz5w7dOPv +8BrIalDJPdSxmmXWVTlSyTIheCbfWJhVpfAnRU0UrppEzt8PieHGY+JHCGXJSGdz9GrlDMTjo5Cn +2TRpZvdzxeZs3M9R1QQJ1bsWzNanNx1HTH11P0PvFh2iATnKuSBRU2ETQYKa6XkpdRGGgzUkKJBG +j87UGkCqaTyzpInJIA0bY8PXhVOm8hWLiH2JQFtvN16a8d4LPQvi+n0nobXhXYhPWAeq8jPC4ejh +W9Xp3zbIaEO+G1PzmgraKOIotd5c5KnnFSKnlDC8hhN5gXJogy7aZ+BtDLIbbtHnvXDLQzpthLxC +S+aLayGNOQ5dZpxIJiBcg8/+yrK5RMpbm+Yo+1G+AaKp6eZ3eTC7kn8LG6rwFgwJN3jP/OvgMwBg +9CQKIiReMaDUA81QTNbYJP4VhDJT5+fe7sdmyTUBJIE78eG41cRnJcW66TGxwnPd5+DfntP41RTl +To6sBWZNJ8mwvqzPEFNAJbWrXruZKfQZXSsaR1RxHppUiHf2iEn0dgmViqZsx2DAPXEgeaCBJTUZ +l1gfcW9qj5OZkAM7zAAoFUdyuR2BQAJ/bOkxb11wpFFsBJUbodYM+9skPGrZ8tYRgSHNFGCGgQOM +uvfW99Lc1lm4v1jxiGInviPHR6PQ4JPtAUMGgp5eCi5udu5GAZ4rhrpiBUcp+OV0ko5zrOqSW29G +URV53z/Llj4PDSPxJFaZjhPmf8bJ3S8iCY9X0XCzTwwchL/PcjZFdVxo1Ba3j3AttDa90HLa7D/r +1SY+J9nIqFV+YMcsiCfkUaMOOgv95a3o6Ci6D6vt0e0B887Dr1MbQ2gmu57Ccgp1qg0frXRVA/+R +D/N/2pvPUJf+AtHcOlkjV+Yy3OK3xWStn0QJ4yVScdRnxVLH8LUKz8GW2551Uo/KVwCYFj159BSy +8ON1HDAi4BzgnXV95ZXM31j1yIf6JUvpcmDCguAwrf9055l2Q8FeQb20j1Ts+Hk5muCAjvV2tihE +wYDCPnJEb7DDrraiQ4DtO2R1MbWEUdq18xiOQH6/Zbl7nQtVQP99Xj6pwZKKfUxpvRPjaWr4tCVa +oXh/sJOZKVqvcV4Vkm9OgKtP9rxNAcvxQeSTmSHCrL7FKFqe9zGWjIVm5XLVtMNpA+065dYt4IFq +CMf7Kin4wuT3FfnlCGYBXKbU/t8igDKr9DJlWGMj/HBi2TzMpAIs2LYOjzYmQNUcwDvSKBlm585v +pVqMrhhvebO8blQ6b1Bhnua7Pcp3UT/AhnlPa+pxbgZhicBZ2EXzOr8rqTLVqkM+Vw5YpPqaN6S2 +hSR1ARtEzKze5VLHh4gFsV27qyajEgnMjtZsZDqf9emb3u429qRcFyYlIdJR0L/P/N4Inqy/X6Wz +/AvIB86dDsWgVV8qq4f9nmLBvub0tYLXG4ogpAzd3g7Gh9d4IVezcvguLoz5+JaYMPbjkkkBUHUv +ARJJoyfHV5NWXWtqliRWkEYH/94GGIXrmYJ6sBVFyn0wGCv2xcJi/Ks16IYFouv4vlafG2a7WTuA +zNON3v53xSWRJDknuN6CuLvQQpWtIECcoFVxhMkt3ZH/Qyu+jX7tPgfgjiXpkcIjulu9kBYw0D31 +WulxkZSNKhUMBKYHLSpDpOq9oCnFHPDyeLzWizn7TNAV5Onpm4lfX32vg1zqU6pZYppW1zKqSsMw +Utu6JLZgLQNWUV+aVQX7f0TQAnA8Iwxw7+9YliKKDXHwkdvDNiys0Es7qYUzp9B6jcRbQOmMrGye +WQK2CrgLn7DcEr82PYQ+rvqXu+mD0SRjJVNVHIkNOh1joPa4GXYGM5vH3HjTsEItg7/LGVB+h9NR +HM19Z+zx7Oq/4gxRQiMVAjxhJnhOEYGd598ZbDUmGvGcVCWA1tKqpCo+knGn15KTg1PhMDlXEJej +2VX0ES1QJZBuOtSl+yAzxyJfTOTC0iQB1dr5yynonoUAVoiyyZbZKpchPAYeXQMRCVNChx5uHaS1 +RptyUn50VMSw0YwRdqILVLONj+Ic6tFN9ldmkdn7YL4kjdKWCL/dmTlnwRxfoSP8JKQW1tWeFXgM +JV+2NwKiba1hQuyHD8D7Kvxrpcd66p8No5aJ0tHxeK/V+kC/aHNSOCbsRm7KKFCF+Un2YUK7cxyl +QDJABoIS8K7w3ADIx0x51vco0gIdym8KWJaFWAw2WB3a8wT039bPFtxg1YeKBrE3/op51L7aZLIH +6cCgTDIQ8EbqybjA8wuoz481J99Uzs0G5MhLM1MVCL3IZPTLIeUyb5syF28V8uszzfnYdfS4MoVy +VpCQberIVkxvP7OuofdBd3pGUuFTfVYMlT4n8f67FM5ZxSvxNkjCeDLOKoOSkedWkfirSzjnD+QO +h1bck4sctTnPVeHfKqNP0KhU8DTKI9yrs1AMDId/GJz7UbU+RhUSH0Ck2MAyXmtId8UyTcdFZSvD +JR8A6ISWa+IRb0CJh2bKxL6UgSjImKMjSVkMeG9Xad2zgUW2kjJKtZAgACgBShZEYLu2TcYjgemu +rxOyFYBqLkDVsDmSAdaQlknuabPeLyl6PWYTJjuSuNc/hj2epdoFlHqh3tEncRsNXJFClmp+ohmk +6qgqyZfsjDsj5P1kXIFNk+N6zaxp2dd2Bid804mfEqC1H7E9KQ215tdIXaZEPlY8G4Y63RPkxGut +QqjzIz7nspLXjlI/iYElezYjzN/P2Ss5cZWs3wohTXgjR/FM00vW6pmCmFkbNq+6aHATkqODZa0x +PWg4jvoSAu0+Zu50lHr6Yd/yxaMPYgPNrhVTps8iIwYJ5yHeLuPrwDyRv61PLBzh8BKWL40q3mRm +AFMdQIGx+tkidNDwSLaSRDrVs8q5IL0QP3jHB0sDxERdBbF2gXGqiSHz68vcmsJgi6IQI6yMtp6X +XLinf/UDChZe1lHAC28Qq8/BUgukUtvqFSlK5VYsJlNy+Ok8GeBXdU1O+awsGD4qzHHOHBtPu71J +pIf5yyBT3h1+NV+AGTZgbsb0XMYk12Z4ScvUTyI0nEiaiue1rwCT+tQVHC53RKNlH86fIxrwQQ7N +1kbtEpX6hU/tdqIOredKB7n4X9gY9ODlC5Tj1wLvALfhx158thjnE35+LVVVBPNWMe0oTPxbVaoV +n7tWaWlhy8lZ2ruvveJJvyuMoqtSl0/d6tcdi9i/XFFJp1p+xG13ZK660D98nv1rBhZ2bf2tYU5t +CRp41CdK0Ot6fY7x/LfiU+dNU3e/Lr0YNfgHqXtQ1htVs7CtK2J18HVnVZGcC9bxbYGt0jSjkeuV ++U1lXtMdshIoNP3krWr5t52l/6QqhnHhqL40oxz373mh6YJlITc1GHXEDTSLTgWS+mYwBF5a153J +RrPCHQtKttqkYwKlXnCvB/KwztcCYN+MIKsShDrXHwZxyW5j/B5eMbM12OIlOWNU89W/H5dnmhjX +voVpEvqjxTUpefq6ssfjeR+N11lYat9kyun2WYS2SY+A8MDD0wQcRDQzDaXIq9Hkgsi6iqKNepef +GOFILu9Lg3AxLrL/b/4zuatY0DjRaN5SKsKIx9pt5LROlLeAvI6k6LUj4J5/UixCEIcIvNF5JQNa +Vkw2YDHKwpQ1THmLy9v3QkfQ/ipAAHH+FGs4fT6yNgicijLRV5ofGrdceRBIgd2vsXwarWm3oXkK +ngsD+dsjNTUd7d4sG2iA10pAkM1u75MHK3dG83EFEHRQxiczM4fBvHD8IrlPHaaJGu4SRdfdtUpG +GTraeUACskjP/3ovlwel2dMYRxecStMgmmCZ8JK9nyRdSkBHk8u8sZPupRT5q7oU6uSUTY8CjAjz +AR49q6lpLXN1Ki439f7RSCfVMjnzRRX8ra4Tl7yqRpIR/yOvfCs5STOEXdfLBQ77cp2r0bkX9Adl +CQKRxFHbcW+F9nZpB6b7btK9+A9BpBAkaiXj9ClXLu1S7F4FtIkRt39yJ0s/wIF6b35VirIDFUMT +OcsRViJkA3I0mjXWmQQ2i85efzMc4VwQUg7LsB1IP4a2K29TxzBEb9Bmh5qDHqJ/KiTvvFWUi1Zf +WqeTpzQ+wgJn8M8DvOIgFpegglZ905NWNecMPadJ9TgPLXqe85Spkeg+HvkDFDLh1rKbl1BeZeJd +TiFxaSMwMOjDRAtMo03EMTZ5lI2tmZUu7ElkgI7w7DMnDnVRN7y5XhpUOCqNhF6hDp+I80l2ZiTT +S44py4LnwX1+yakBLvMnqFo0/d4wBbHS7s/PYk57tpT7qYm9AD4f4teDO/84JX7cGgB4nNURnjkK +qfxg7huAGlHWOdMJD2zr6GB7aZkW/zpv8O1XVSqaCsxvqmEyIbsiNo2h0A1CQg8eePphUKCIi4w5 +kJ8sdFSdzzdImgmZ/ID29zCww5JMn8nyj8uco423XefxceE3hHS36Ea97E1JTHcre4fWE6rfHly4 +CCYrbLU/RlC5Gymnt/hLY6T1pTi7Rzi8fRze++yx4+0Qlpnv5vgUDNg3sP1buD9/k1dIMwSZzDMS +r1yG3rHpbh7UJbFjuB7gcDB9L7U5Hc5a7QlZQ1PH7SovrvUuMK3UqD5QEe1B/wyaIPoneAARYqld +6bLjqaxOw69595FKY2HZfx1pQKWbLJMpDUh3wol/YFfcSikt/j3aRhLHYQkzBPAPbca410e5+iWc +EAOSDf84KF7UI6yQINFqdGlzeLQzm7jUwy4vuBXy/0YpsE8K1kSfLF2amepSnxIEsQQBMCi/rLTO +BicehJMDTPH6I4eXKUz/qlbakdUsfsJ+PqBz+mg0F/DseqqZ9A8N1yPAzRAl4AucgeW30yRWi/lh +uoGQOnH2nwFFF7z9gNM34RQeRyF3l1RJjouNnBdyblg2SKqoOjNmbDtcb7HIQBulwE2WZW1G6tfV +Hx8SIbTXvtAOLgTISlwy2BF6uuTUrmpKlIYNOl5bfk1VB5fKz0jqU0lvewbX6u+AQBffy/8HsPRA +duIcFwqxpSJjvuAEa2GDRC9lseowMegfdXjjqqVcfwEmPP3pThNOWvvNcGIo64diLTzjsVt8Hobq +a9VRQqlEsEdmfSooo0VyaE2/bw+tMAKGrYhowzDkX3NieCvXNuRjwWzSWf51sH/OtIMspJDSNgEN +AQVlqH/d54X+E5rPKCbJndJRf7n/kRp3SvA8DR1T7uFdDrWOHpkZXoxhnqtskzFR90B8Fv5a3j5v +XLDdtJdPggfDJcAHWMrlopLojwQgpwr0W2nPkoiJafvVOfDRjnYehM86Mez4bR6F7xm7Fywp7n7n +uX+mNmE8EOdYKptSR+jtaan5eFDsa5unGJhLQqA3ZK+z3ZIo094Pg4JBLVom7Td6GLeBQaz1UyVT +2i2DaQVkIlHRQBqThi0FAVSCrvTlKrU3Rtho8HHRFFfWL4fN7wtGnKp7cWBCODMtmVuZ7YUqjC+y +v45CIzYy9DRjzP2mAuNgBH0PlfUypISV0iJQZcXQIKhBNqsP2XpssaW2CpbVKhE8cGzpYmWyA2+i +0dCO5sdbGi2n47NjB9b/lV+HxcodU/z3cNkprFyQscXkJpAKeFdzzS11Gup7h8N+NL8pA5N9ybQ5 +2pyYoeGjLM5wwzj0M3V5GgXbwFmR96suphT6sx70ymhosNG40rLU9G9uEezFhpy8sGurJc7bXuo8 +4eVhtU6CmAVJxEf9J9rTuZu0CJTleH9qBYULUAkYeLKX9ZQQvg48iFuW8D2GxkrGrIVw1Yon5IvS +1xYN26dEqlawdMPPodA9yWLNQwWaefaOiUd5GuqOTdNvvG9vIpkJQWiUr2VJ/cWp2vBvsL/dpGVN +4W1BiDUuTpHN4zpZrYORVvkmw8suHVgBMJTlaHDvjKDWfuokScTX5BuMLwQPSIB+IRnqNs3+NCMM +Yp3D2agZaYdPdGEztXveQP5bSrArZqXYw9mnqgs1sLcFfLjF6YkkjIofXXo9GUwJINMcV6uZBpom +p3XTtE0A/3UqwDDyxG3/MTfGT9tNfs8Y99PjrWdvEUCY5CEA7bonEu6J2DhFVYYBj+Cb9VjtY4tc +/jzImhHaA78gL8r53RIqXqpa9hTUGEeX8JnIUNPNd7wIFaujMwi2duSt/2Qtay2/ZSVerIXjFWBq +bFkVUEeOTCMEKxni3aKodjZ2ccL8B4A5qvXQXiF2CeX24/wn232HFUi91G/OjaPqdfoRXI0ykXXw +aCKPef9j9W/j+ZnJD+mX4olH4jT6clMZ5AXH6GwzXKkg1OC23SPwBN8GTbuYfw3cof/esCtY6Vk8 +E5VC/KBdXodR4xwJzRiKF1MfH0JZf0PxxIMhyzu6y6Db2R3eBCJJdECmEBHXTKfmVSRTRemhCXRN +621OaMOyD1y0N1pSf0jKg+sec/oqBnHkxRre1afScBMLtG6U208Rye+A8SH4knh0E865LBBV9C9t +iXBr7ay/eKdN889jyXD8dlDqp5I+ahTOgs3n30NkN7oUdqcwNLZwzRpn3SENPv6bDWlb5x58X4Ix +dqvfuxAA1GvCeoaKyxnxBdfYVT6V1nuaCNEs8kWvEwbR8RL+iWAtVExV6SP4qIW6+Ig+aQxA0+iu +pVYZpaDEtZnKwueubaA4pUIKq2fIunyH8EhFkIBswi5YZQCxHkx072ivYbBMBK2QknlGbQCai3Ga +b/z8s9iMuKRgTTnK5JTRIAZ9ajSL0iHnmkTLAAgGYXiunZTCrpwBDgaW1Zo0A0Ivqt3TtLdWjlcB +G7RI+anIqojlvZ2+cy9hTgi3CMtE4LOTgEsuh1UlYTh5bI7ODlVM9aQre/UbCeiRIUiyQYmPx0+U +Njd7sia9EoG5TRDCpp3Ul1V6Y8noIIe6yD2UfQVC1pmCo9ExEKJA/Qz/Iobn1IHB2uRTOoyDCuRW +3xnIg++Ce5vwVHcY8/rqmZ3XtMl1/0rVOCuAie05STNsRiqyX3/TsBQofzSdS7cOfyp/ozXUesBs +vVYihBJdrBpNEm8TPkSreLpaLTlS6KMXJPYc5R9NKLoWCM3CrHcDknWua9o7F3lMmbXZL28J9Eu1 +FI06SzVXyhLgQYjCw9n1BAAdvBEcj9MkqnmNWcBa+hA+Py/C1hEuMpD3AuEH+PwFxOUMfiLdgYEg +s088jrbVH4/Kgrd1tDVbR5u1PSLNoQMqidXfycW4P5cpajr2xGAoUN2lzWlFmLWhclUN74ffyG3X +b3q2zOcYUvXAAtsa131Xd1YFHdVmxYEmWU/69uwPiXrSM/WrVpZGkgFqYvtM5WEX0PNvKK3wL9P6 +2pMfn1C3FbzftHbTB5UAmrCKlQlZ/inyXBswT96JEZOQIch4XxEIckvfHBvPQO6hC71gm3R1sjy4 +6SjWr74PPfX6/UHDs7Vky/8T4sXfHXDfp2a1+jh+/k8Q2unSH86El2weoBjhW8RLW153eQNLn9zE +qc2bZGaTdWMphBQ6rmSU7ojMPEmftQUUMTNZmfO9j6UStcyW5dSn8ZlmavEFpRlUZkoECb24uFMb +mvmhMCNEI7aORqTbcF+BkBEuRXDRrPtKkOgQAj9OjSTlNNO6NGdjK6CS5lBRWVgYdEkYHZl9nwxB +9lSrO1r3fuurGtzXUq6LsUFG9nf2gyQhfag9CQbhqtxIXH7HfCz6kfNdgG187Mnr/sI5/eP5afFE +6Ddjc3EzygY1TQbRLrlYKQSfJBLafWi6K33P5Ew6dzeU6gdqWXrdLAQtMlV/z5vDJywyBC7xUQcW +0x94DBZog79hKtkoryI5yMDlwMT3m4UicsvDE+KGkmsXsU0uIqGjoJCZstbz0YrFpRo+IS6UnCoZ +Q9lVHAMewqm6vk9Haf3GGswn9nobtC3JI6tsZ4+CxNJZIC60wGHwOidp9djhZnrjs/79Tozsy3JF +b/JdIfqVFjyNcXwkd1bQpLD+jTYEkkzqJKtOtmEZ5Y4m/L2sm+VhIe3DH26lBzv4WQjjwWFxnpAH +EvcJ4YfK8hQt6ZOuS+3oTiNxDXTioRi/3f+aFkdhuPKeQK5pyOteXNyvNocFun0FWGsSHv4pf6mm +hQfZNy+C1Dgr9QryuAD3JKawTrIM5uhVLLd/w0TaH2W/30cBYyQ2uWNLy/cV7bevVo8KMdNI2xZr +iIptR9n1/rlGc9YQsQ2oNYdGmpDxkFiPtB2yAotyOMHiKnOD8UoE71XjgJCZWQJiETErxgqyHIwp +Ei4fJ78pwf7gqjJIYwlbEnSwEXKvzVwPGuitqRqiLQouOvx6HEbEym8aRau24Dk7a/h5x7+E7IvX ++Q+qNw5Hb1DVTMjsIBzovSDEaOlrPHKM+7xFEE8dQOnzMC9mt2mle6n2x3doPlR5b5E6lU8Yx/MN +oeze7yV/LXx4B5qDw6cvNhXi1fjg/v+aNLC/RP9mOfnrCfYTrMBCUdKjLgFxMkXE9L3aImhTEofi +bWtJVHCLGrTSH5HIAUu5ns6OQxySKFvT4eQBursqfi4q+9ExfEeS3rvTos/EV46K604Tq0V5PxXS +HW2MMGc8Fup/8mtm646d+jg/swQ75pXzxob3CcRPDGplsz66c/kh5G20eEW5k/ZtXytopGI3dkub +OOi2iaWZFzJbzXW4yLxPRLRcfr/I54fpg7bf33RAl+5j73MN1Ak4VcikOtuE8PkQMy/L5QfzEH+U +NQMHHetoEmj4pAWt//rRWtsa500smA7rmpNM9UIjho4eTBEOUIlsavrNJVjr8v9rB/Wu8gC7rq1W +bbXqwM2fhl56l0njzQk7nE7Gqgdzg1ZxK0CtZ3ifZle3wCC3P8+SsWHE8HxYm8eQP1jw3Ae0f144 +AOzKz3fOFm0H0zv9YAYZooHtpUtgVCNCP+WE2pgGVj2ITWqd0Hr4gMi1Gwbnp9lzcrH6X1Mr5++B +mM1uGW985YxgLtoRwEgdstOMmy+mljuVRfFI9mQRm3Z3xlCzT7uaW8X0zysRMGTFLoUTstZSPdVt +nkbWonICDRvx9PflSqXzai0a90cvrFAjz6ArNX0O+TYBWrORv6frbgM3LaygvT908wMo7yMJejHt +7azzUVF9TykJgv/FcAbRe0STOkK2aiVkvOywvGUTMIjtmiM9lzxBt3kLTnRQQbEE/6yWa8tTju85 +vX4JmUYR1KByvH9/Vun7fxyvgm+kOnml+DMTHhwALpcPkMp1PGfPFUK/ugH9RAUHQ0JWhmCph0j9 +I6KOepmbl8+FrM9xaGbo+6EcdjEgF+HTbmos4jpbAb5aQEZ1Aq+xmmwDwJDeHhboKjH8AnTdcs03 +kVIjrNXeDGMVLfBhZXj2RTQQrsCQ921JlCO2QKuHpdAEvYThOwB+bgsrsL3H8ge11dgKULm2+XkG +wmGFsG0Hi2s3ErvmjGIzDJUGowwRzTNAB9XGWzm0VEr5t66+VBZ1fy/ar3nW7j7buuUR2prIEQA6 +C5mtOF5I8+A/cyIoHEnPh7hZqpjHFlZuhiybhceO5OrBMzjQhmm+we/FZzJ7b31i8xSwil5ChRtY +hmHKvCRbAandCArTN0EQZ2+HLK7bKcxNKPjjAcl18/kCItzDF/jno6y7IBfNQ3s0e4WcRNj8cdIn +X7I5jHH//UXGkotwMzz5uN2bAnQfZF+WRpK/Iw/w2JLVwNZgjHOjW4ACEWP0GGi3QQPEyTCbbvCw +b6tURAbwz1G74ap/yiUPF629PhYGi0lxJyxsd5dul+ZocelBpV/6nITlCnhGRQD86xtywDrtDho1 +lcMwCwXU9QyoTnnj5Uo1u022mbgOIm5NL7I1yZjTfied4JMfU1c7Qgz1xeWBTfXgeTkWtepqOOjS +AZaG9qI7fxZLes3zp82B5Ut0/kp91OkLtnPeUMMR/R+K/7kSWpgv/b1xZTuN+QF95ADFfFlpQNsx +qxZcJ129F51RozA8pNErsO5KqsRdHNt01Fm3AY3aZAEBfzIrjsUKWASzriJdqa93sNw+UN9gysht +B+cl29qXp+Or0aNfmy47Z+JwzmQUuYe4+p5+UlhmlnxiI8tuMayYMBABqptpNrr89jp2ioFDC9r9 +DTrtym7AdAgwNr0ZhdvP2SxUkm/hReCfjtwZJznwnjFYT4/8r7aDrK9DDnIjq1LHi7HSGhlq3Jqd +lCDProSnx2KPxBOwzziz2l+HEysIPdzdJ0F44XEJqlZm2WG2bpPXRADrZqw+/MoDI0f4UpgUdO+Q +lksMOn+kZmqcXqtVrPWgh8GFO0rwZ+tQvbOdhKcps186ZNDex2Cf/xeWQHZvRf0a2KM8sTP2QjZN +xXThEEWWagUY6uxCZpuZfGzIKABjRQofgdD8xdLUOMv30+YBOqH04BjQ2TOqMhAG9EP78y1yPqz8 +ECo/ig5oKjy98TSx3OtFaBFoy9rFbYrXIXQP/I1X6XfLkkA9ZtGjOr2LJYnEIIZrRlmLOeUCfA+S +weCyevPQr2PLVXcOVcRrNC4F2JsAnh/0hIuHfVIRzzfELsML5fuhgYJGafcMn8BT3Ey5r1qE+nRA +aM6OAeDdZ5TwCz8liHP1GmCk7Q0PcJPUNy247218W3NB5uf8Qh+52anfL2T8mSdFIEbjuUpw6ZNS +MRpgQ9EwucU+qooT1zL4DgbADnGKddSur7vKphwGK+9DN2mJRGd3Jl3le3Sf9QV6AkYfilDOFMx5 +OGng+URXiJBQBF/mwGPzixfzDYrTu2ktYDJv8jzzgmHyEbhwV+BL+vl2LA+fKHzEy2BbxVflIp6D +Gif5lB8ny+lRiP0NmwvPCNjbeHxcivyqc7MaxHZKa8AVNNiDaa4+RN7F6kqrdK8DkqTrJptUIRGs +focSkm4l3/z/52Rj0lQZHIVv4HaUyW0QOqhgepfMb+bcEG1HVuNknBd+H2GBczxsb0TpplY1GzAy +lG2iFnRO2EQWOmFnf9cWqvXP2J7O6zHQJDohwYalcFYm+/IjroZjxa0czPmtL1IWbluzVeWUUP2U +WD9NFrdOvLItXhWuBfirycXHKy2QjgqD1N4CjQzbSIUAc7se2t6bI+s+JnkHClMHZANysGtPhBD7 +eupYwUAvGlJKtCM1QuL6qtIW67zEhvueAAlNkJ05OqJEmVxUW47D9s2iN4q8jWpHuH04p3lvnW2C +R1RJfIgW79DpoS1niF16OK51YuoACLtyur/KF7KYngOXVQ0mJO7igekwUMFM55gf3OAsSQ/GvcXi +3WO/bJ6tEIPgm3gwNGn4U6Mj0DOERuTXzAcjSNm7CxfnUMUwMcHbw31+JPfPiOrkVaIImnFlaZJb +27DQXuxUaqXVYlUseeCMko5warP3vMzcPs1yyvH60T3t1Sv4pe7Lo17f7qdLWuzBNBjVpHfgU15+ +4TYds2ZQ2q40JmksMyTTDRt1INmdXgkB/Psyo0cn2B+bO37/PgkpcqIkUkMLrGf516AiYaL1dS6e +akfV0ywFi/LityRJspKiSSer2hX4F3BeNj0eM9pxKfOEMizjhSPf23V9U/IEkkoseRZPPIcTaxjO +rwZpk6GvGDT17O5G1BP8gnxwkrvnm7sK6VULopuw8gbHcVf8HfFkyHLnhp0SNwL/t2RZGRGCFIbt +BPkT/aLH1N2ke0ACNufY62wbuep8qe1HscZZAxOxL26Ru89cUFo4r8vy3w+f0Ml3dX6a8Bn9yN9K +vfwLgYTu7mxadwOndDaPGYnZylhkwmJ2CCNxM0N3ajIg7uqBqK7dhGyoHUyoWo7PVMAM08WDFoN2 +vw+RfaZLUX0bNtB1zFTQo4OezKfGTc8xWyY1gCanLw7BH25jcp4H4JsgnpTrbH1L1aSM57VyXabl +Hf4VWjlyjh/gQ2v/VaP3I7J/QRvF833STpRn0RJfv5tdtDVmxCgJczQbsBNnDCawzdpYoXBgRf21 +VMTIlioxHHJhvjAie6zOqKsfYTKSMIwGt9FBOatSkYKQ6kfhf8VQyfsuWKaros2DFp3x5sudmd7d +eCyRw+T4LR/IV8oZZSzBcSGu9am9SR0mJmpr2/uvV0Orfi5aSHz5xLYJuXLyeirpFTLKWv1lhgZ+ +pqZsQDbn+QfqIa1oGxLXsKgz8vLLi4xY6PHf7bB/XvovFFTtmR0gplq2cToNuBspMbUAplEjghqe +a0Kt3npmVoyMDknc4ZOTsOdiW8PFTyPrr63cAgtPDfaPhg+r9gIaMO0FFRu3/plAht+/aYjEWVi5 +PN9m0u25FKw5+jujdrrozP4AU4+xCtDcvGtdINPgoiAaasRJRT4ASVaAQDdqVXL+TbztrFh8j625 +CNcZVBoi4CwZmjEKpS3cis27wpoyP+zLPlaAT9UUEXRYGO24jvPm1vJ9VJCBN06cL6XTDUnzvCy/ +LO3R6qVhqXbbpAOAUF8xCRYJSlZQh75kkpb+FCm1Hm5Fi76/DHrkOxfpdCEriuebFCGebBOK6+61 +HTVB3uHpC3inOZ8ey9nodXhIC7/S3lLeHIV32BwvYz52y/cBxH9zZEctvV2QZ3i5lsRtk6mzuOR7 +4sPwaMMTafhiqhvlCsvhjZ7gugfU6pLTSHbEaHvZyjPVvgo2bLw4npbeYzkin9aaqa+mo6V0BHcT +AqJnbFLGnEsBAEs4AjLYkxoeuSSVAX81JhKrDSd/2VFPqOVehjA4gnxUsuxcW4HVoc8H+3q6WeNe +Zx3OdcqTEQmbwke21QKZ1Wz5aViGm9tqeIcRbDzz1AbxgtK39ugveCDvcrKSuWLYUBlaP4m4xXfX +l6o5z5IenquJrI4VNXUMUWab7wW8/JqjEyQ96mZHQZiKBxIz2kCaEzR5mnCvnKUyyRHnU7rjhyCn +QH3pJmgATlYrn1R9Gqx40PYsDGyr8/NkGNF6OyS1aQHQkAy5/meOc9zbfoysm4slSD+0JM2Km/lY +u6LmGTtiPy56l6ny/cm75kLOHAnDoDyykza++eULkWLvwX+5UWZsd49fXqxGAOwz3YltHhp8HpTt +z0PZX8bTa51sn56eGfn3eVJhOISfxUWTGeoMDEri5fP93bf8Eu7VF5C+Vsj+JLu0vokKxQX7UIgl +fpmb4SpD/xNHHh1vDPyZz0V1qjJ2L5K6vL1jTVEGX9KJ7sdSusyixJo4Myih0DKxqvE/Tuh7rYWO +2ucTkH8OxpJ7rXX4i+xiBeWzmSR4MJNrY9kShuglgd6AzGP2hvjCJjECsJN6K9jxDmqAQ0jK6JzV +EcHqcbx5d15UjieuX5cEScWUkvrw4Kq7G/yGQeoDHB43WFVZCthBSLXXftUnfTC+EHox2OuZoilk +HI48QoH1agqBZekDvVlKNLxc3i+AVfSbV/OTK50co1yau+iVNbpnqH1/jjFobarn32uy/NCrPw2b +MpKyTFQNtLPBZuuIcQO+tiyOiQ7IqMPIwYze2bVfLeclw3A2/elAHqN2loAJGHRBhKwUV47HK8zl +5eSVF3GI6DzrKvEKTWeU68hM5wqrkkEUQDr3/627wPswge9gQ9Q+ZoJImh9JI4S7VEvYewemTQC4 +9jqsamlB68iO7esJb6QPvHiJ1YlcnEDoImf3xiocEuiSKezvADrjr7cF2bgtUExBiAJ7oWoDC7Fb +4yPJBl7qADipEyB4v1tKHGqPQ5mKAe38ewfcSvx0x5cJ6XCoiH3gf0pdaSqGdzFyxBFSvSPvMSAy +G71AkC3DW4Fs2T94FhL7Y7qakayIyrJDVd7S3qyUSyIoTKjR5RlansXdZ3rr9WE0fr4cy8htyvv2 +eC8c0qp2U44sT7NYqKsWjsfgJtDl1w8JHjkLTunSK9TR0FP3tCnuXUu/ZqcuEQuvQjFMILL2HEBx +k5mcoRJVKwzdGGKXFci3d+0oc/ly8N9IYteC+BIznb6BJMc2uAPd/UJHrNkDevuSnF+K5sWIe9jx +rSlxZPvHrAy7aQtfZGXeeXft06tb18nbHip30/jAgMUwN/USGlKotpbALJ9unUYsYW68E+RxzCvx +4gkAjsDxyvREUbv0r8OEkHupbpYS75cPR/T8gyjpcwKpEoWlHU6fz9hGIANIp7Q5ZL8sTUtJJf8d +GeXxbL8b7lMjjvmezDw2ErsguyGzXjcvzU5qkdlDPvxACzkrC5Qt+ZbmN8k256tnpguxcLk+Ngut +MeFB8la8nZGZpMJvZvZ74F5qpiaJedrQNnbG+4GCxIsoZiZS/Pzp9ONGiSGmcY+9S389tEZHqAQG +T8IhnkKTLRj1ak/4Hp04DjWgKT9AlrPgVDDlCjFICCACtC4GcmfSnuQJwV2t4+A5NvIrtveCg2Ww +63q33WkSkbaOoRQE22Qqpv3NQZJzZArs6Vcmx2ERl6FCDpqzgrU7QXZX9ftZknq0qHIRYm68umwe +owyWOZg0XoombVCSQPefFmkCKzuqYgfdOPukp8DJ7MVlH21u6pMcOGP8FLngSp+4T+4reqsWV5tZ +fZuZ4BNBOIc4ZraEN9FekX00/oEpROrOCZ18YYUlI52kww9I3byhyOiXYYzlR8ZAx5/pmQuoUCkc +8dDyNIURgNzoGmA6zt02i2Mw39QOSUbPTHvpXvkecu33VJSjDRVv9oC0LTkyjt3VXpBIKomKNhIe +Ck55FW3P7xjziHRrQlGyV+B6K7aLdbsrgZo3/W2kTRqbwUHMJyPXbHk1s97xtAyKQelZq3U7j87z +kkCOsCE57u3XM0xReZl7fSj2qR/xJZnIO8yPTg/d7Df9DaYtQhufMBscZ0JRbSM1Wu7ZdxWxAoQS +v6jEfH2VQJMTbxc7xaO6/OLxOwUSm3AmlvIeIMlBLHUVmlAYlVmznkOxxsui3IamTBXM18X7+jGw +1FRyVVi41uWWDhNhwSXtMDunxj0slwstQyKroHX6imIezoXzyzmTgsROYBro22N7MEOrIaY1ka04 +qISC8eF66P/uZMQYH2CJxQe/L2dB3gErSdrJjIqUWDcfsyNOWNR+HEcsyZmbVQ6SP921irWgy26y +Zs8dVFODYTa60VpeZ+RFWyCS9yUN9Zw4EoFDvlJZaaZN/9YTAb6gujaIhvo3miy93Q/mYhoW9sQK +KWRxidgnulzHPtJ7vHaiV2FE1/a2umNq3n3mqzx5qnNPJmMe4VctDyA+0Jy/LYZME4Bs2VQH03Tl +W2EDNUlpbyKkD7qwQ838ZwOAvM6PLW/HojdS86dndzk6Hq1KqgUmUGn51Qf2SPsw+31zM4e40Ude ++P7z5vFt8tj8HirzFbl4pJWotIDdsby71UiZPnaqOXqy4VVRJwiK5eXVePoD38+gaipiOaDficCG +CTg7bVlspf1emLB73VOpmmy4N0HBE9fYCGnWSVwH/r2S36zqukr+8PH0ubSMFb0LjFhiO/TeLbUL +mtjMiznoBQcowP461DgNY02UUSbM6vzk+a1qS/nlJSKZzZwmI3QYopsalN2YjIU85t88MkrPywe3 +5V42fcZcbT+wAJHTOlzP2EnKMX9Gb6vtP+QvlJ545jPrhji+HJc903FYX9DNk2yjKqXhjtbDwPdx +hR139xEnKSQZ2QX8vKMVxh373W8Q3I1JWzMlnkftPzy4hJCXtNaLCqJ+fOWI4YklSM+08SNx+TFo +eFqty6mlBkSnWW6s/6wDHk9c9yEAPk5D5Yk1X0cB1724sJHOfNQ/MKJ4jOnWOozdMBdKXt1tOyCd +QbfdlqcV3i8mr/kCjXjFWvombEqcLmMXn4bE53R0c2ywHubwXHgvyrV2dkGZijCIOEni2KcThtUy +lxm+R7Q1NvhTBINOsQ6y5Sfqt1s3txkUKPok1xUvbtpzFp75rg9I5MwLjOkulEUFGXSdEAXTXgAO +ZaJCI6uTXudzPtpo9zHR70Lwo/2QgslU1DqpufRPcaAIy/QBZotpfPx8RY0akAvOnZzbqSjEWf2d ++RdHdyFQ8YwsnXShjodaBqbqK9/S+imWRA9pm7EGwBx+6WyLNY7ryPtXooUWteIjZjFPdvr8+sY3 +ofPkaAkOgp/xqEdIsGnCn7HZ1nGx5Mp6Eyx9Qe8dbOjRCWUTUxXq+9j3+yGbaxsUNLdwO4/7zsRY +yyXT0Adl5l6Ca23UMhfEPZRxqFBbl2h1xCMh4Ktscsrp9UMr0Ec26dDlZkujFF6HubuOW7TLSaty +5U+sQRE9PTJacW4zamw5fDRSHn2/h5BhyJ8pddWmM22wK20pM0CWy/J93GdJ7CrCJvXNtY2B2JG5 +7BpKLJs6sUcSBOHm6Pq9hw0cwww5dP283AiUxIROU6EiKMeVUANMIZWKWq4cdBflC/RjUpUFuqbx +CtYvtopHPP2Vm0Y9CDyp9OUi0Ko7pWW1KgFSUMvjOvI6qLV8rtHH7LSkBfU1LIOxQIhM9xiSNPmh +R3bLV6p48TOQFYTt2xhEaqwFstESfAt6/uDa/3gDLRHm/EMegfyy/LCgZ5vptwgoHYOZbtdzMTpt +a2cjSP7VvNBvliRSWDxqBpTfXV+cQa6qnPNKdb3MdVR5m+izlnbvIL0UU242DlkGUFJ+Fyv3CqVa +h2BTnCTOO7GW4NO0C7iL4D1oEMEaUVbVXq5k+/EB+uDfFQoWTN/pIAYXReFe7oCnXOJkHms8+mHy +OpsD+NtPmrwUv2KhZ/YL3YBFmIeJNrDyoxj8ibHTeFeEDhtpSYBkLVJwulCnbo4YTEbwUNfgZS7U +8/O0e0YrF1dxcAFwOwplKM1DdwyZsKF0FLr1yz77zR9UBsUHewy7mIDKfszKrWP3QRITI5WZzj2Z +wW3oqxWt0H07l22Fkv+rG7a0dye83DVF8gzsiX7ctIUtmN97qylx3yn1uoxPA01nX8n20XJuyeoX +Q4eicfVZTnR2tW4CvMM7EFsNCT5jlJqAOV++oLba1slQzFnkrEPF6kVfWKM8aCyJoopy5W1xVqrd +L3VoQdXquGDKQaSU+W/mXcPk67AobKPM73kHpeLFoo8O/jQYqIgdnqPVHD/cJnO0+M9Xe/lfLDFW +rm+Tkxh2VOw/zUw3G++JnWfNfgsxx3nWZtKj6Yj5JF1GGb72nL6uOL3FK+Rp/wF60URZNtJkRnDR +oNieh2P2zI29+zi4k+KccdDJW+98vu3RnsO0YoB9vzUvLRbJtnz0XpG8KC/v7YcgJjjJiMaDDrRJ +/CdhCRk/Fpfvim1nF14cyd9uObeQcmrk4hmoqfkMHrbZvG7iwyZOOlkvIFHHL+1RwYPugeu+3G2V +yPSyGLQpuj6894lwFeufZL1lgxoJwjFNCoUz5Q5az8ezwY4cvQe38qpoo007fXkfpLxS+sTAdaY+ +FxEOw0Xg3bLc7Ce453BWIda1nsEwxJx4/stwUHlk15r3YLD4uSby2hWiFpVC7ZCOoWxoTFhMpDff +ag0tn8N1gRQmgq/jiNoAIlT9B5QX9JeAlOzvAapw6Q2R/wK2E0e8cEG9lK7wzXXevKFTCyRLOLYy +kMN1WOq+6gQU8ZjkAh9M/bRZe0egiIEq4s2/LmSHIFuC/Vas/eZtsPwcMS+UMUDcu584Ky/9LZfT +NAloi+KDCEQNt2zSJYR4DVZneBIu8fLXCHKl8Gxe9o6S+wUZehudzdwF6/PdSDSOv3NpJsoRILGz +RizidxrzRbGIX/jXlN9sap5cJqb7y9pFzXE/5TC2al505LNreFq3xXu9FJt5sDE86JvSt3PUclWf +4B++MJXgoEFa902MnRItrj4Y8T4GcqHwMvCR2DSKlw6ZAgBM35jBMksrMeZro+jD4ESeLt7S6neE +uzQtRc7SxiaH2Q1SiABqH1J1GJyFjA//QHbETgrP2nZg0WS/IHGZeGznaVGvFiu+pgFe1zUKerw6 +X9Mu9pDOMZti+V0xOLoQ7udNEiKjFMvI8yAKXmyv2X/AaFmRtN9z7uZsvkim3NeFht+CE4GK+hb+ +pXYHYd4+wbmEkxReMt5zBJuKo+rRoomKA/wjNzUAfDwDUlN2eBOrQR+qDMnMmY56w/QFvpDCpwVK +k0L0ObdlJQxekMQRit5YwMQLw7CwMovNie2GIH9jw7j+0qzsZsQKOrOi3Lnh+4svDTjA5zbOgi8Y +FIDNkzKFtXSvocN4tt/mdqrTdlZKRUbXHYV5V7pWRctSnLxbMd3JjDU7Tab2clL2mOfe6mKBKGJo +XyE3RPDiVp+v0sFwKNOqlax3hAOF1LErc0u9otGcJrSgCFN2xWCOzI2q1P7n08VT+r3gYJY+EmmJ +JC6wczDNMI2sYFKM+2vc3XhrclsC6KBDXvBzPk4HE9QCSer/M2QGtmpnUwaPHsFh/UnPjhTggTt9 +aTEWfkovnnjV6mzRV1tbUzuYYT+ibdr7WuMyOiOzwxIvzV7cxjDSgwKAmM0TPtEiaJtZNpfwQ7m5 +a5iOLjUA6QfjgKxXUiDWX8OxB/pbll4M7ony9RbrKDGkyP+Ve9C2wrMpJ4ous7RUnrs0MgFnW1Op +ph4Lu0oorKqKOsKXiA7gmbeLdWg76bqMuWJUfk5KKSW0XcCa9mhAKABTHWcrbahkm6Z8gBKml8WE +UzyesItefkF+gHXSsPfqYIGK02k292dc3MTPBkwvCrm+ju7Q18oYtxnq1JhMlsCis7Z3yZUdZ22J +Ub5N2h9xCt/9W4+Mny2jnlB/kB0nSkt1qg+GajA3XcBStmU523qCAVRihHZbmEAxuqm/U3Hb95Wz +kyMb4CCwbB0WqJUf6lbXP/xReYgwUfwEaqykVzkmVx0jAXskwu4iCLWT2kaK937XkEj+l2Zq+YZh +0gIbnTbnDBVo5bIGEKZD5N4PC8h6qNedSRmg/osMm2Qv0bNILTPnuNbqod0DSP+3Cb1g9tH0qyqu +/mOXXTKwnetFZMsC7Nhp+8PRGfrC2rY0qhufR3sLAMAXkQLwRH8V+VUzSITaqtO4/jlEnmbudMdh +ozmqm0rGi5rRD6Z+qyCNPHNJS7tdt1aojwivul8UeXNarKFFp0nJA0pIkiG9xGGNxuyXi3w2hbIw ++mrjTKb7JNvK8iaR49+F3f8pSfjlrG1f/mKh4xhRMAX7kLdX5RcXxQZi3ibg+NqWYc3b7dpftr7p +fUGlpg2QZHomM+vljVBM34nGQi4hOqcx1mU4W2AqqXGG7lDCD4PXPK6Wbvs7PLQkhgQD4fbhqgQB +cqwnB7swUX0vYW4rdblIBHwfXsWYLz0veYP4bymC9EgAMtod0gntD74/jMe4ZZYT1KPuUrrtMIuR +7gNJsP2RvirkrNVk5CehurNXvIWn8NIYEf1qK0O8jJI/tle1CxQYtjzdTvkP8BNbPMPFfFvg18Cf +wsKCfXmjfjHYIoHTUHru5F/jK5bEMRWAwyX3OQ97F23poxU1EFazmXa5oQPr2bYA2rvq/tOjoCSa +tez2mDA9bQM3oWBjKPYkE3hUCfOeBQ7AFiQzLJL2Y+JypKxDfaQYSnaYiQdvbnYj2Vf8fe+AhAJ1 +JMW9yx+LaIo2lXsLaU+DESFYka/ehosebX/N01nLqRb8jyPa/vctban64dz5/gCj5ZUT+vkuqQlu +cj9qCLV2Q9Ov3ZxiMGzeI/mqDv9Kef07nVHbgkVZ7Jyo8m9ncIYQtiz1hnmSmgymMgUFrJ7xTvLW +zvmcf8lAzbKZcOCA4jUD1daLcURODj6WC/uqhGMsrIN0POKKq76w60xaSVGoWdkZdvtLrdpt/D5p +wlEu/EeikEm1mn3F+5UqAJSgGrXA6Ctsu3LTl288bp70DaBM3AsF/FB3Cbjv+CMnVZUUkBk3eBAQ +URC4LyJtGJxkgO0UIpeKwD0gCrZmaKFuii/McaTNIm4WTiaBcA5eCTTWhgcMEXEpAY/qdbnXTV5h +3bfRh3CxOxo0UJWA0Wby2BWjJNhEqnu2ENuwEF5ktxTdsephpJ0AJCBpy/fbElFWY8nHuI+h+Rjb +zBf7VBZj0Kvyc8rJt32Ko1DgJtgcSmqhPY8Ai6Wd8UljgZ7cu9OQfxm3XaxgV9PxYUik7OgTVh8V +tBNCjeGmM+9ILXXeyD/KCDvl7oICBYCDBa/6W62eZnu9kmTcOXX2qRkOS9bS0v6oSDPQe7oAZagh +Vr7IxVMnyclLtLo8x4gKyHrmfAKXFqPU4m5gyN2Xr2abaldqWF0ZHo7N7jR/6K2O/zXi4bn1ys7O +HWSATzUPAQXftY4nrbbOmBHZHrjxm1D0pKej/zygfcoR3kNac/XtgJl1nk32yoS/dy/Gu9rFTi+E +Kyd9RBl39L1zKEEngybKzols0K5ZlhGmI0wQHuNGM+LiaJErlwvmxU+xr8Jv1jOzYzjQCKcmGEkv +OhISVUUCQPoY+c74+zvdQ7YH65Iv3xg/5rxJSeRwQLzhjxT7YxzrDa3Zmu074pUR+nZMUTnbOuLC +lIwQo66ZpOIzv/LAzygcI0r3tngeE/2DUuEkOaPUhBgPT1vX9fNyaRFnceyxbvsUe/xa2VnKdDGg +4OM7DBf+OMlxQJNeLlaPXt/wvi+vHIt2xdARLPbO53LdHwzSAD/UjpkP+/x/sjUrJJeEK5L1GUMf +OzNrJp/K9BpVUqMVkbLGhjOUfOoZLGyE+Cx1zW/quKXvnv2XjdUlJ8EWn5T7pA5gBdSZ2/AMHMGT +czq/cJHjHUuwqg8+ZRY6iGrF8XpobzmhMS3G+rTNUEEgTHWIM8M1gCXqUV1cKtHIVOIgCIu/bozP +zKhbKVKTiNE/Jr0xIVB6Lzmv/8TAspHxxgfXi1zAgTr8cO7QT+M4DNTCVb+GvjEG9h/VAnmsIxmG +u6QIdK4rekootxWaJdZ2AQKTvNoq75pA/MiDn7HkeunmLH33F/KbxzTXzO6Gdaoyj5bzOq6N7jXc +FakYXFMQJ/+9yE6YttzZXfxTtVNisXU/hz5uNRVppBtu1uijH7mI6f+KWTW+WeYM+0tIPQSBUWWg +bS48rbthh91Sa8qWxjP0M/s1jiun0nk4540giX8BpyBMxkj2D7kXQnUH8rc6IIW8RgYtx5Y/a3VC +ws7I+c4Xg6HilRJ0FG9mmGZW5ZMvTi2GyvmB0YTszSVyCqKIw+tqcCj2LiMQgK+bCKwijvQCPf+u +nEbgHeo2ug0ZaFflSTSoC0PyX86+KyYzywAFudcOzZuh3UPQKxnxRBCeoZNqCM5p+O1xH1sJcjdV +7yqwHkgYgchOyxabgKbEy5DPkW0/6UvYlINw8/SOn/9qG2lNn18E+CLe+KywDliTPXbJULvIuhBz +BqxbP6/jUBoUY4jN8LuyN469SAIaKhgX1R7UMY582iv/PYU5uRnp7NJbp8A1mWS6dDC4yCzigDaS +3qczH4FPGrKxdeeiRfQGgr4xol16K7OlE+VPuYHwgd2QB9e1+OhZfPUbnJKvUuPZ8AEzARSvHSva +L1PymTjLx1hYGlS6r2Fu0lLKYpISbhLvoIO6oBlY5t0sZutauIhHN+dKb4/iot5ZWR3BK9U0Em24 +6qJ+AZNOIKOz9mHAvp03dFxzx4zHda8VU9Uw99hRMTNN3tPtee7lLIziv7ydXa5UhBznPSxyMBXk +mqDp+CAUZ/mKF7BraD7Qd5RH81nW6obMiIj5tVBDeVqQz2wSOxwKt6lAnYHAyZucgmoBaYApALdq +wIaCzQCVK+X6VIXcegYo1/1pwtSge44kus6Cb/6vPOyPUUf7vPIKESqauNYlaSbFmvcqydqja84b +gX7n2I9c+bcLQRyYAI4RGPrGplx209ubx+rmRFydw4jIbqId0PlF+dp7fPzpSqoxvJUnK8k1vnTD +pXnLqGT6BC+L2qnQXfVhvyu64I/9TE8t5GFpfrofK+ZVh4bgv3Z99/ChiWVo/Q+b10vuQuGLkejQ +7CZL4s8+Gx2U3DHulKmzqpPuMwxKaWm+85JmB7SGRP67eal9kwd1gsx28APodKnmfVb2Uk+avSnG +G0ueRtobXSh+FSZTRpjTAyZeiQSp7S4Fleo81JctxEo1v1su038y98Ea7pftfPXWfy8kN0lACxvP +fuU9GHa7mA01hDfk/JQZWMIuGGWxVJ5wAtNq3V0pFIFnsO49nBtkA0vKLltrzvZePzazXHVO5T/0 +5nhSz5UgcLp4/KE6h70pyG1vouQq4+ZviJV+9pJdcE6awEC6CbNQp5WWfwybOXtsxy7XcMSecsGN +kIHAFRAfbgFCdfJwzuCrIYvpbYU3XZVEd7eHfT3pYSIGR5V7Q/kwfCjlWt7Tjk7vdP3/eOfz6aTO +6aZOCGzs/HiVhs3xxHcsdPkatV3Gnh7bN6VhtZXltRuJi92SvL2md9n3jbXKtKtuEsrsX1LXfX4x +vXWVejKfY0Lp4EGRQrOwEk5Uc7WSTr4sEm5vx7zheE41bG/JCWodbZ9UJpvpTCGFMrWauHFcD7Kj +hN+6qTG0sreBAwiieXnEEWGYS2xYdHzutSrkQ+kC9adlostEvUXOE8PY3gUSBEYw6HXiaPQUhtrT +O5tReD+9vYmqMi9mrXAJ0w6NJ6UfPmwGHul3GYFcTnjmJ8oEZBcOhWt4Or4dvjsEdvQXKosTK/SM +qANHLfmBfHL2daA1fEyRuKqwwlL/wDYY4I/+tv/KVJqoquqUKU8HHxFFlDb5yNQxK7fqY26az68U +jGghI3x1YNk4bUOVwMs7agR8uAjLlzCUKtH6RtACIHzjCZtGC6LDbDi+jVTLXYt9uO+S0NQQvUsS +fjhQDvQUqdkdmFx+zh+DZd7Xi3WQSQCzkKJCBMnRIQZgezrdy7m+FMgvbTS/cIQWYiwe1USiah9U +Zt+nnEFJhH1Yl839Mv0Rf7zb+2d96Wzk87bGBOKxpzF3vYKMLlr5O6IclDan7kdyowm2QWHK2BXr +Z2jSNFnT6YUY/Tc/RIvNHUVPfMOPbJgM36z6Dr3H3paNug7VrTYnU9x07Mq+pFUPe4V4UpjNjJXM +wPxZXr2nF0Pv1q+mkeK4C2JX1b4Zy+Y6vaIqwCX6dGsU0FHRZE2ZjmzcXmg3CLybuwqtFJ/Kg0d0 +5kF7l5YirXrCnMknMI4N9bkBjcbKTUD76wRUthHyN6UToP56BBhXItxymaL1pBBDgrGXPGvLiP+i +uC9kzpojjNIrSJIykGcpvQOXBEnrOQlqkr+t9roNPcnxhXOVLbJ1OOHwXHFvHeYHL7NHoFkh8B57 +3i93jnUg/fC4yBnfmeZpT5Qbgjf7SoBqT6uCUlmIR9B1KzUqfaYHiqPh3klfMFRksoCnJKm1mt/j +HYX12WQYi79qsEiWsQsNSqPRFTg1YoH4rv2ObuQtQ9fx7ZsNk/J23NF404MycXvUwZPN1rtIm1ry +oUFEga+RC3/vQBPiyEtBz8LXXzEMRG8jbNsu95o4up6n7wKa2Z0JRJ5ts1eX689sW9/9jMDXN7wH +ZsWhgGOwMxzLr0ZiV6vsxazkYwO26CuYM3ZP3jH9VNOF4mKIMG87msYF3PFm+1a6ubkffsmWJZKE +Ovo9cQbrhJdplYEja6gdp0AnMQg19TQZyW+Zk/t8pXpOFXmCJRDv7+XKb/ZDgaIku76swiWt83m1 +ZY5naHAiQy3zOuEtDeFD2/fqx3b0wL4rMoyXJlsaJ8pl2DzWhkxi85xk/p84oYvnjp+q7yE7e5gt +fWlcj7JZNN/mbjJVNu356KrSLl0jLLI1k+LueDkMDfNcSanHZLTzN232PArfg65ydD//CCkX8beW +YMEL+k3kWzSNdtAx+t2OtdQ/KbJA2ty2ZTE0xt7m5V4ZJ+066fMzTnAGUgfvH/a7/CeZvJ6yi6bR +eGKFqqdfz+TIH/+JMh2SnUxSNrX/mDEk/Og7/J6kNVrpOYWSjgzRgzo+48vdTES/ciGKX5N27eel +f7rsj7zBRW22XWekaQ3tLJCYcBlhzmqMkjOcX105qHL7omYJChSt4KyLFRiVqTArYlOhdcT4l6ab +fSSOZDyvj6VCYZ1U+ImGmh6rkeLvcHPLPouE8gvnDrtCZlFWjtCghl+rdoSFi2soKsvy+G7AAjIo +hOVnv2nl8NRDhMtwMklnW35zM8ewJGbYgclJe/nADq9+BbQg6PSf55ixB41abw8XV4RVBN6q86Pw +QESsY64Dc/7sDDNbu5N/cygZFnlHS/kpLA0EQ1mbABxIUpFWqBpQ5B7ZVnBj9lrwD/SJ77iTYm8e +80AWHysWGWWTnXEqJMe882UneCmmQR+tG4+WfdYYDxTcUFHcJlra9XRYiu6d+iYSLFOrBbHT2zPU +p3Axq/Bi9tUsgmk5noj4Z+crVXgt3YGmwGn/9P0uRsrpLQ8xk7IeVJIczg1XYE33nc3IUOhU6q5U +YThf+x0lPagebOnuxM6RZinPkhZIp6lwcJ1a67G82jfBfzPKHfWZU29KNCToF/fCclO+V6Y+OH4F +qH+zrZHOvJesSQDEYWKBVh09ltSGy7EiA63o1RjPFfj5InmKUEgpoMI45cSC0E4wWSDwoqHIl+2o +iOYqOI5pTqMeYgmcxvEvkcKWvDCklHtFql9ofXLazVW09d8cjZipao9iNp+c5PTegVq3m71OQQwk +Cstb41TXa9YF3zeUehznbC9xK6Zf4F0uT+19Wj46akxZGqZQ6WFuZlrAQy60ne1KtnnghEjgeiKM +9nFy54F3hyPEZbLZJJwtDZ9IlW8QDUSMRjuXN06S1yoTbU3sTv1qwa2rcz1aJ2XQicsKEheivB3F +bXqplwR8I1fbdNdbxWSuwVYVxMHZ4TPHgNjHKyTVPz5ncnuUq6YeG9TAeohw4/21zn97SrUREzhF +Pm2Fh4uEp5G8Os6e1gHndTZz0ArGM7ewFtz7DtrjJJGSDR2Sd695YeIq77NIsbwjslkd6aO7QWAD +qj4W4yU0RQjVlqrtigrM/QEwSAVCo/Wo8jeH51Aa1Zw7nR7QhxMWZ5AMb72GeYJvcGqxdVXvbAK9 +9yGGOxUlUbGiCnUr7anp5GuLQyz3ADsC/NPPnrvItuRn61lSJtaYyuZzmKWD7Z8bgSk5WyGiwPub +lduoHuIwM0a3Xocn1L82ihMc09LGw1IkBaid+ualbqea3mqUYFlyoKCxc4BxImgTh/YjFO7R4jzw +B0/r2kpZmWMgzVMwCR40+SuCc1pJIPziTnm2Zs3VuRY0JFnZAzxAytjWMtzHODdAYCeTxcurmj6+ +q3lDW5oidvZAa4mmJb7+DiCPLkS5fIAL4t5AI+ZBiKOtg0g8ctFG6AItcCbCRyhiPxNNWrBtajXW +gRQX/PzUUif+wHKLKT+DpE+AOHeawR8SIthN64yghInz1I5HPE6jYzFPoC0P6ENuTJxOQrglSCBA +l87nWf9P0N/e7xjgaa4A6At+GEYTHot28cV/4tAYwId5EjAfR4LeyeQsHY6MISMXvI1xBlmv8QW0 +9Ou0uAzZeIC3RXpcAhE5y989nbiyc/64guqvLj71WJeUSZ8KHT30dtRqhKI04kuOflxDbRUFQyWj +51x+VjVi5I1aIGIOEbitvcIs9b8bTQ94N3hQnz/BdxIvVTTCm348V/8b0L7e7cApb8QoSrvnvtPc +Ugj2tWk3EdenhxXO//kHOlV+gIn9fNGjLUQqwTDsgR6dhAtzYx669EhgKKradpNEQIBduJKsvqoL +6LKup8TZ2CmlqWbcfMddCfSA9BFs1BRcdmFxxuaqjHHBGKwmDEfXqmmV7l+n70L0dxH2wvCfTjZW +DpBGCsRZX/JjByBWU7molPHQdFG4DHnvjm0z5GGma8ifQjhGbU20j/xQIYLpSoCcxp+XsrYpaz2j +ne3StN3OTMKlOWIYUVX2n2wcJOSQdaLe7W6XuMm+rt6KIxeBkFXPmCsH9v/SIYXF1IyuNxhB+Dql +a07Y7vlrWVSsCvfkxL1I5PcUAmA/YyXcvYol/jYQFcVol9Mm4T5cF8Z/3td4Q5VA3cTjp6isn5+a +9Wbb8rRYEx7lg174ghhGRNdRgRBF4XtRMRTbpycTp3FRw5a49cOvOemIhUqpJDKdZMmn1kUa/UsV +kiXZD4NyG0VIYfD2wpW+IfnyLAm5uaaxT3gq+ZUtju0nfWfrLmWv+mBLnYXNO1CztfMJfKZIUVv5 +StKVn+UrWkoC10Bh6hAIDkp0kIpWkMaPnfPLJShKkF2RiewSfZ35xL+fiVaMWtrxmxQSOS7Ivlkn +zgcM7cm4piNX0m083SN5CmXYPFDPysYG+jL+lQRPgIiRCfzDepFYe7m/ONAUDcweSJ3sRPMT96Ss +MfmtwfNzTQLQxXGCgX6CFwMWcRgr/g/z8154luJH6i/6FKzpnD7aQPIMfRVsnhzRm2CUf7gw9O2R +9zhbIIzEXEq3J1rLMmqFjCss0MK6tsF7S2YF7BMosizpGEVgy31cw5qUWRv+7ll4rOxlA8r44xmL +OA4XGy6WBxId2mHDTu1IO0GYGidZdWlS2RoiMO12grhl5ZECy9PJwUmNHND5etOvIQ2zKw6LcYT0 +kVhrSVW+CLTQ56NJxvTbm3Ri5tJm8CYMrh7uAgvnXuA3Ud9N5nYlCyrGOhmYRSmHAkQej2YygrC6 +FlKruHIyXT6DnUYQIxE6twD4reXsp5+zD7pBDZtYq7QDrY/sEUDksYyI3mEutOO2jsa4K8jMeyzg +jwxl3IQ097nHbPNitEuDXxF/toUJvbRhRqEJkVyUykxGgVT8NfSAufwDtbX1AC3NPhFzB1gAeeHz +g3RbfNI6KryWc0D2xRTAD5la3zuBndq6bURa76bUKPL2euFAgzCc05Aa9PYcbE9bTzvwPAc+SiRJ +GQqeQ5gZ59HiYBmXWOckkCotGfLeC1dVIrrs2WS6JN4vWD3QqQcEnGiP6tYYv67B+dnjXcElIqDI +CwoJD03U090yTjBK4CKiNAAocQP1680n8Gq/Q2N0SWruuN3xHYrkbuz5ODGDWSQKG7soO3EGuG/2 +1N9T3iTdYYTPTtHWnloSFDqtwF1yPI22/22tW04GlYhbVUos8UbWmvuk8tjuzMIz0Nk1tzAxyWHG +tnbSnXl3JWe61Rtjq9FqFG+uNACfwOcuyg/Zg7a96jvQqqq1Ahh/N81NwwEKfXqJo7GLKX3kd0ao +t3/NfBkdWnp0/DTJ68v83THYqHlNyd8bQAte//kzyGBhm+qcAEf7MxGlskiODUTnKfFzfRyrukKN +sxG1YheAl66fMZhj7Hw3GS+qJcJsNMvHY1e3YZIP6oX2qXyQIRhxH9rBjUgzM34gtPy3F1ebiUvZ +Czq89p8KBXEss8s53xt9rcQBY10zwaVghre09SuLaIo1JkvJcQXLwmNGiAC4665m7GVs1T24L7RK +NumnAnnnYCADSI7djZLh8fo3uAjMTuLBo7uu1xkCmEar0buws/XHrtGTbHatHAYJ0tgjDpYQUi3C +At5/6ILhAjXmVgqh6R1EsQJKxMjhYX3rontm3eqApJ4/+fyvK/uY8DsIxv0dyja2FvMKCMiw+kpK +2H0Lnt0Xu3ZLvutQ9QBslR0wTVQ8tfCUByjrDvfxWiH3u1K0aWUCp6JSAK3RIdtpjSMEowNoux2M +jz3rrHNGpLYMJt5bDOF7I0oqOjHC9F082sZNwIZpu3OM+/phQEKTHP8ny2Dhv+FNk+56zPGfQ0rl +WpnF/MBpUym2SboVY93SDWZiSc1ceZemTv41AqRJn0KY85jPJiErVM2B8lUPgMsWyUp7oMdlGjTA +43/kRHkdkzx0e3mTItGPDjUAoU9u4/ryTmygcTYYZo5W3PKbVh+MW63RK1nt+0+IXbjhnT6ttbut +GMWDRfvHhtycz662Ylpodsjmbc8uLLrphv+eYi+H2ePcNzfE6nue6dp26+923LciNyCfN4PcAcV4 +eBud5VPVy+tbZtI1EAhdjBTWLhZuVSXgg5ZtK3mTPhGZRsfSzWqZY7M6CwT9OCxDrl0O1MEkiIVl +iZKtqotNg/+MclZGSHzbouFYp3k4B8/l5u1xvanrLhrO8Xq2sGj4IKbxCWD3+E2FzLEOyYiA/Ra6 +bhaUkEG3tQknFPdJwxV2eW9kkUXldLxsr9r4omWhEqPfWY4jd264j61SUKMJCgQm+7pRDP2chK4K +XQ7ehfvgBOMJgPwq0DyUzmRHa8HI57N5VRw5a4Ybkml+ng1SiqRkxkDfoqkeNBBY4rzsUP8FL70b +K8JSxsLLOFBCTAvad8SEoHNc2hqLHwuIvM2q+LfqSfmqOA+ZLU68p29Ub0Yn7k757nKu7ESg1f+u +qFhrnpkxYgs2jeadVJG1hQPpBxVvE7/deLMr+YP+W20f9iMXntEN33/iLFHQMwc9aqHu0BJV+O2G +VO0tqmoET0PC4xRDZk9+BAxmQXDDkKsZhgYxdEKl6Aa8azRjqWwy/4kvvX43Eo1KxXPoKDNi/4Wz +riOAG97Rp5V1uB1ZO0YOBBdAVluhts4LJbMUJCj1W+SV/7i0fLioQOKjOvLW0xky9Sx19ZAIalTh +AC6ecHFIBAYkcwCt4LJWBU6mZm4E3Uio/OEBRpn+61dspL0/HoGX8bsNn+JwKMBDpyHXvR6WC4P3 +8YVmwMD+bt3aBL2WjQDuYsqdOeOyhnOlT8byetKGHhdhjabYItOA4gk53U2EBHy9inAPojeb6lMm +foz7BX3GhAO8tMKO4lFA3MCDCSnkehNJs5qLsRDYEH9gkkMcTxdkWsb+07poru3VCPdnca6CqHWX +qzJrIr0VOw+Ql2MuNLJBCrxfEe7RsbtAadmYkpZYbyzcZ7YthShjuqNKFgLS8bikxZM2h4wZzCp4 +u4c7B59ZghOfAOD92UdD4Sj93USzCJHmDaEFX0TqvyEL1xmG2OYgXq9GmW5IlErhN62Vlx/Gx7NX +RFzXK9bl2PKxkeI0iQUQs6Opv66JksFgU07bRVx69FHyE6YG8eXWERmW+FiPyD8/QitV7oXK2TEB +ONrHld6V4mIl60o9J1y/Ah9WETPdUfssWiYiZNIagzzGS4CPk+QZTtwNqyt2Aazx2toKeAs4Bjxl +ma7CEPKSpi6Y2gBscnv+R4qX1per4B5bNf3e2rR5J9VLcIlPKs6NGVyupf0lIybQo8Aogwi44yJG +w4Abcw+e2Zo5e4ZoypWpiu+I1d/IB0AI4c8Sx3ZvX5ue1sd6e1274k+whcV1JQU0q0KsF+egs0Xy +uetAmVZM65Gg0UFdlav/SuH0wTZ5tj/8oVa/jSsHJcLxazMb5PadbWscALhRrRBUmlwxFMhhPQer +usldMxzP1w5YuZS1yhV4LSym/uHJ92wwUp6yjBWbuRFOYfGP8xsQWFLiVJXQE0oPO08XKFyZh6ql +2/Bzx6fzPAu1RM1of3v/cPd3eOWRDbIc5381WZnV51+SdTQSkP+krXPk5V43NqBnFT8INkjfqEEm +uGdqP/Go1GQIxxxykflBomnKY06EvHKT0BB9LzD5go3HdfQF6CJaC80KHxE/k0eQtZv0kcMUS10/ +Y6jDIa4JSxjLbRYiViNeGC8aB04rkkOoGECtTefs+fyDk0bguRkGcINWGMaFzCU3HbjnkCuOkf+q +ZdRiN/hcgMhk7IJZbH0O73g8tK9YUI2ESS6WIbwZD+Kk/3k9xHIklvpBXQcA0Fgn8LARFGrpGjsE +Rd5cCv70804PXCTnQZpFyG/EZDO33nswFF6gEO3pDYDkgYLtoojaDmNg/RFAPB7BjE+G8AE6D2Qv +axxQK56nNWvAZ2ouInLoRUXqAJJtln/HCshAC+YLOdJhYTTjFXLM+bkGstHFVxTg0mXwAgmEU3mr +UYh8TlkLeJ7vTyn8p9reiAfTDGnURVfyQNiZOlH9N7yVOTXFg5n8DSw3fmdzZh0K1ABMCapn+zl9 +CWrvU7iyZZqvMRou1Fbl5JrMQf6EdP24xslUe+4BaOkzTtVF/uzaAe1dSJMfC7KTQjosLitTSVMW ++vItpnwPz1MQvZs7hpJHhK+eI09ZeA/cZb1VyTmFSUDhZBYre0P55wmPMVfkmxvZXWjf7vm3QKOn +7nvZ0ZA/xnjA7C3HyDWPF7mv4kT3K7cqGDfTzprB61gfrR634Ll3lML0i6wFn/6ciyurh6TBYJlo +/WMilwRW5A0N8IJ0IbwJXI+2uaBmamVQGny5vXe5YYSuCVcd9HiDmhKdAnoykdwf8LR6uS6kHmqi +09SYGbL0XoF+Ommjuf7zGcSyl1kaKfwk+zDrPJpHlZ2eBhbFNr5FAtzAjoTA6RNRn8wDlTvD4Jj/ +eBIZPPD7YX6BwpOE8wMEniXixFiQq+fajKh2R5Zp8mNSFxCmoZ7EnBuT70CNpGHiws2+BeLNJvDO +nhVqh4KGaQ1za77lRPPlivcJcf8HVDf7pJgg0zjiQ9JRrbzN5vqV31IHQAAeCux0p01LHlAxc/gQ +6xXu64r+quC2pl+aS3bNnfuMYtTkODNG1+27DctEWZKcxNqebTqUDdjbPRllcYmVP+dluD3EpmGr +JAUTdd18MIbgt+vlXCDBU3682wXS79H/1qMn33T9VY3R0T5xdJVmKQ8OUtsHGKOtczM+h2yPCryS +Ha0DFxMShErV4NjAyEr+TGGZJ9Q3dN9sCXCSrhEK1FtNC5KgO3zm34iFPJObWPFucXxL3Wvc5uYU +7UclR5EIzYYWif29ILKIga1kFQGQvApxJXDjsmrsjDnUMKykit6XaSjw+0LEga1Vr6sNC/+icjti +561G4w+LFaFQnQkaHykhlLHlnbZ2ShzNT+S0WNeROsdhcZNAj94k/0JeLN3zADGUSUhmOLffauyV +rvVHdQtyFdt9x52bymHqDi2xqdJe/sVKFP25Zt7mA8lUr40h0Bi/5ck18zW/A5VPbaR6yEeeejIq +273hSoa4Tg9oXK40eigglsa1BPresU5awLZBKm4upmxB4/K8KCb2WjC7ejuJyKNgHniFz3zDxqZX +ldR5Ha+d9BM8xUxigJ8SGnJkBp+C61Ux+VARPrPGwLLkxZMhgb+IUhrCf7ha9ziBSKaGzsa81JfL +L/ifn9FGKLYA9jRpcwBfwQ35lljhnIuIUO0xPlm9k5aXUy0Xs8q2edSX42PM4NvIvkUwrvBQ/3Pa +izfAYWQeFe2HrCqcEBP3vudd4QNgv/lEgWFpkO6IPCoh8z3crDzo9OlVEyzN5WaPbIT7HFfwYjKl +akn2k9bLhzGVM0Zwylu201saybzlUiF7fvxqXo467QXd4idQkrlqWfyDtlcyukk4dYPRo/BnS0Vi +puJIFfUwFF47yHDiY8fxupbHzxgKgzHyJS8mBL6rekvAcbw21cHhxh54BD5d8krZCgD2ddLhOtmH +e/v3LAE5Y5sDv3V/4+VFQZ0kzKcadupgfUdkwKErEgGHxsx5DMP8IhIJc1G5myLgbe4ThWVIDenF +RPUEzAx/9S/XKdexvlSPgW38PnUgDpzpo/HBXPwbpTl0iI8lOfA3pdiZpabC4ldTSdiEDIXwotn2 +tCSSnLS90yd7PyTUwFSBijO6tkd3z6kaG7XFSbuDy2WH6yL7U4KdofXbrx7IPAZVurgp8PKHPmd+ +aI1QSlTvALbOTf2nsKCD8GyAoovhRxMU1JsR8mJDt7StqBBEtrEYdPN95JN3kqQV/i/MOCsLrdzM +6o1VIc8uXfLAmHf647Jx7sjKViHjrkZzjX8yWq58/H7z873wagtbbmlmfFV9lV5GSyhf9zWY1nRE +9ZtnTurpX1aBZYIPSe3EndcQGPDN5GDN+oV9U4ogkDSfpERiJ/IDSGNfRMdA9j5PPlU6rPYlxmUw +tXKJCSi7/BmaA8rfntIlUEOyiRlMT2EH49K6lVPdoojTJMOPP0FM9dHbgQguHaMfm0GN3+OBoVUN +INKNKuUAAIDnAxwy/+xvLP516nkdGRbjrLmyLjczVTsTNs4JyOwy2dPrBfMeQPfu/r2vpoNK6cWc +k7Tz8d5Xb07sm7icVpjeR9x4m+uJ42HoiAqlDZxjZuLaLlHA+W1zI9Tvi0Iuy4KZJqieoV/ii5us +vXGt89rAL6cnPsPxRgpd1f6U+dVFYETIMBgJrYHKHr3ZgkZ8SYjOQ74ixQ4XSGfiALQNTgm81PNI +BJJi2SdlXBkvyzA74TDaTjeRa5wH3Hhe+dKEhh38ewPYS0TdJp1/BlBm/1Z0yrZXhZqo79P3RPdC +DDenuOWQCc9Ud/wyaW3/YwudUu0b3OgHa2nBRi2zJZ8epAS8WgqLFo8rDpMT/Yh9fefzv1tST9R0 +fWyRO077kYS533Ww6AsAEOekgkOhXTpHbP2kwHu5cHtFQxeLwbUAfRuQFgQGkuy2zQHZV1ARoeC+ +2YrNrxBUbWZDJ4ZEMPRnpxHOCb/8WkZ2IWjayshg4kcG1AQa/0gOt5VqjS796u4JkmH8d9x41lyn +u9QoKg2ZKTMQLyL4Chx5VkM+h4lpDXU69ZQhMsoGStlIZo/K0JjC9Yd0FeB0cpBNnmaDEkuhyc+Y +aEmLMUl+IXLaeWM0hYYAyh+NEF8xi76D2MFuEuEpVgkuVstYZl6r/gaftt1++dchu5GB46hrZUK+ +HXMxoJKe1nGAgn7YAYUXFDvjT6Ml+Tnnz6W1QJf7AifvVvJFbsl3dGc83y8dqeGS0UgKfnJcF9aV +XIreLYEWpqEn0eTB7+2JaGpMChR9587bGXsy54wci42DrdrSNTLTrNzMYp3PRUiILN9GXjtiPwYZ +QzLtdqQpC5G2h8KneXyqTRQNres/Vwak/QJKvJ/XDgPIu9I88an4ZEazthl09gIOLssNIFJ7/KiD +XCPbtYe1+jZ80H6Dc2OveQlcib2L6s0xdcBFuMBM2g8J/2EkJFW9elL/HIH9w8g6nPVpBR7wE60k +8iGJpsgI3zfV5sy4SPxa0NH3IkPMm5BIKltEdy1dZ/LrihI7vdVzjfeVSjTgZRBOTxocriCUfKLQ +cs7rFrSfvGPMBAfpi7taWAhAklE1CZgEjTlXTHt86iqGAvJTmKrTLKCzNcuKLAUjSof5tvtUbYVQ +5moyJSR+xaNTOYKo8oR0VuZMCYT1KaGjE51obidC5YzgZcgu5dJDXgCghvYJ97vuo4S8Ptrh+XBG +C8UY3z/z8TUSif96zVNT5BURcSzD2Xo4CFupsKKkcCrJY7yqKWT914xKgt7+i/HLkP0/huni3IQA +lCMzzHcOpi7i0X5btI5HqIzR2+99LFFj0QI7I36cJNONigfW7MA0/5KVvfjzcmiWVIhcIkNdiWtt +jcEpFQdXoTLRm28lkPVQDk7r+xUnv0aroByxowmPZCr9wAFR7yHek/J+/nLc40yNjZz3jlGBFHUK +XRyDnyzNcXZJiiUGLwemAqMPG7aYbeIE8AR5oPMOJDRKJwU2qaytq1X2fP5FK5gRHYbmGVkcnkmt +IOk0SlnNYgKExVt05IKE+swDqNjK1kfS7rmk/iec+250CruiYZfGYEoLVsVTWKWTV4rs7KlWjXIx +SKDQYj7d8fPOaBv23B7+IBep0f1XMKAxtxxhQDaOU1ovuNfXOTd00cV4NznMgTrt2TV4r1hwE4wc ++N3uAZlq74fSRYxMg3IgtrlY6BXGPSpzYKge4NwTzybIapop3nU1BdXqruFE6BAKrDsCyumUxHyH +Idxgrxr4bjdrOiezXcambdtWf/4gNYBnNO6pzhoXsjXyKcenPi7vAiB+gY7q1dKVenPVlSiErwe1 +zfkH0L3ZYWrqg7I+9Wyqk4aGv4y6jAh8tXaQJrPulPy/XgbwXDospSbCWta4KdM25qaVWWln5WPc +6XCdjNAeuKKpyELQSP3Pvom4Xpms2lvL6nM9CpinX2mjDmLEHnIbtQ3KOSHsoqc2xHby6Y2qjmfo +BDSBtJKPMqTn1YljtGlT8atAoDG8dBsu0X9PBClz8lXpr/OwC4pw7FG4Kc8+Cogjo3LGDLPEFgFn +NFasg0vMkYWgxWcmJPSqa4bvLl2QOXes8uvozzYCLRJtujP+EDl7P5s25cEvPPBsO/HKbivtfbF7 +HDAu0EbCTMG1u0o5Tv3852R0kOI4Sp5WD/21HQ7ml4qIzdFH13pPSMXi/zdT/BVRIezUt2YdWDIg +qnx4Uo+Q7hxys6kHdDQIQnpNvyI23J9bdXxHoa1/PMlqNtT2lLRGNQdkOXB53CXW/4i+NScsNgu2 +o3CtqG9ZNXlXCW2Z8nxhIaB5ruzhNfUQ6fdn8dZrnx0RP5u8cF2rATMpN2GPo9IvUgPCcnZ36jsP +Fh8dHpmY0smFcz9DRxyH0sN3Lbqq9z86tM3OnMppZKpK9lcaZCbFEWhX60S1hmztqtWq6Va9AgvW +VSc5kKT5zcDm+9eCfrlqMRg0TQryk4bhmWt9GUc9eLxcjrCkqvslY0kpfAQyxvJMghSD7qJnczTk +5RhUiwzY2x7HhyrrlhJ7hsCwD4qM7KN5W/3uWtbpJ5hfrYd0N+Qr3CmH+8bt9CQe9mD8sdZ1VqHT +Xf47RUAtF2/UfqFsNHSC2Smuoww5a4wBD019YzvIFLjnBpb1NR80fBJ32BIp17EXYsB1cO9BdTmW +bM8RutTsfreGXMXgrHMrb3+VJNELU6JYLbEG/Jyye6yPelmsrfzlOOVnv0yjKZzqviviM7xpkka1 +GprsrdIXlQ1xIgv3ARv9w+WrwvxksuXe8mswBaaGzHxALO5yB8rWjfqVNZFlvfAhLbpDkomwkj4T +YE/V18d8Jso7VNnfqcKHRk0Uf5DtdGkidStHyy2/0+gUWTu0gnFjVQaODAnpr6MGPpGsNQwlb5/w +5tHomB9/BFsADIaf9clnyC60JRT9hR9cBW6Qknt5za9IK99jpcy+F+TUpa1XOoqy1U241devC6ag +mWIuomlh0sOoHB0Eo3BBZFZBtBQsEarqj9SDAhQjD0ikmSp5Vql2kR8KpPuL9ErGh1mZXpYGjEWG +zjwkGRAkpTdWHs4aNEKz1kVrYML/pCz0dMdtqkc4KNZiUsXtG3MVwXXQzSEyo4qAmW1+m80lmc1k +sy/yhBU1W2Zv6iCgH6+4202I89yuntmqgSm6MpcvbipxIWWPzWHp0VZd9FmUtiDVf8Y8nEEvLsr9 +IUeUI1h1p88X2KRDW6aT5IrK9F+/tbtk8qpgj8KWPUhTxFU+sg3ZBtfizTyL63xipwZw5WNgEGrV +NhJq82eFiG06TmHqf1Hfe1B0Gp9bmN5Rkzy/E3+8Kme1oj/XbNTjavhq6EpyvBY+GGlPzVZX0bjc +dPP0KnAKambS4SEG10alSCkHtXwG+Ftugwe2AvXI83h8tM/2SiF9Ynh6yVL+7D8M+mat/mmtuKfv +z7Xl06r+Yd5DRMwNgnaByw2+Lu8FA6ya38kwpLgXEupoKE53uxZ4YIBd5SN8YI1vl1D0JxEAb1lt +jskz7L/t2B5xhby/Ro96CSrvHcNRMaKJc20GhPRsNz/JC13UkMilF6fzjyHkhgS+jPjMnohEznfe +FqF4yxRWUXyQV+u2AaAbaSIwUyCHb1isqrjYSl9ZAKe+KLxLEzPIVfKrrwcQ31X0LfGfMc1GeMIg +8sOB1skxy5nmuKu7KMOfJrg6PQ97xJeosPpdB5/GdbjHUEqZFWdk+HdARrZeUv4VYEJkF6P8TX8P +4YJbLB1k+W48oqaNP2s5JmXAFiT7CfsmmiZd60g9GcIl3phFlbITkOOMcRlLA4sL2AJph2OhyJWr +xT1nCFRNxOvR0SbNLb/p+B5H7kE6Slo5NpPPJVIAmfF+/pJ/p205VYXrIxucSLFyn1RST79SndJi +gQ5BD4ISNIB4K8vMi55FuyhYRMDoqQCaBolgs6KYSWQHkzMfvw1iO9bN2zzb/xmGaMw4Qg54a8wV +RB9FXxnI5xvTvqmxT12ld5TkGG27LCoEF+51GetN6vJBgVHNsXlU4VbrRnCCxpaMFk9OUvjapmEV +SqrYKyGad+uUyWebJKls9uGStL2SuJcMa1EWhd/gBBO5h7zyP4BxaNaWGF4V6ZzubKg70CVMYytB +bafaZ6pI8zwG4dVp7krTIzXyaxJ6Z7O4TI6FtmFgYRyoS4wySeaSieiOv70ouC2KMQy9s0fLL4ox +HJjdfB45S6VZ47qM7Uz5jI0Ep0vCNZnTB+DwbTgdqQi9DhSxzEC7wZbwB9Bj8WGu27iMYoxOMFZq +3WtI+yJLg8GSepXOoTB5vRfn2ILMrEHn6fYGDRkevLGDex6fNzTBoKa4DA5bA6gZeJiQE8+a9WHh +luJ7CvA/XTB864PyNLNGx/uKRruntyLgVoIVp03Tp8F61+Dxf/ApXV+vg9u4SHoE+K1dbgy8MY4+ +6IkC2IyhqpQKSCBPGJjz/Wit/E8sEw5jlHQ9DX/uHHcXLdycSb/W85kkK0rV264uj0/qci3s1V9Q +Wun4RNFwpC0xMtuWuS4/bBrnS6VlMzvwHmjKSIt40L6BAzmTyrjy97rKuM+IcvnSKTLUHpwU2FHt +1kJL72B6x4qzD75+IrKSoWE9Hf1+TQkenROcskcc0bN8FklObDAunGovjELO2qwnxwWY7eTJBzeV +nd7E1Ox8ay1gw/4YW/A+ncER5PQtbfTwO+uOci2yVjGMm8gdQnWQG1+1b4XP6nw0IInyJvzrqaCj +gZWlG6hWg28H1xbwe6HuOqK8iZmX8MzmMRkCBXt7Om+VeUHVeW8LXMlXdPXhWIoAwgCxEB3Np9J9 +Cgpaxbflaxet+Hhb9K+SMoA1guZoDDfyHXQH9sqMx6nsELfIPAhXmnZ6ciEtthiwVGd8+1tLozIY +CUGJ9CivE3ID6qAT1GxYen+obtqCTDJJuG6z9wpc45eHz4mP90i22H+DvZfwDQj3mqmDwbdMhW0y +51ja8tfQvPr3bepzlyis0Rn8DwwVI2hEybcHHazjMik7OXolOotbxtL4eI/jzBGqsgPUI2UIEOuV +t2g6FG0p5WWXNAOn1J02OIHZ7P32ClbkIlxG2Xt7iqFQG3ZQvecsc7K6Ym8nEnQRXvB/Mzztp2Qn +WJwCgQT/FtDqYQ4kYI8IxW8ZBmKoPVOUgxaAweZuR9WSXN/WzZe+OWxovdy3edI+esEJP7IUnalT +n64SNbs3/lBpysgIM7XhOufI+GzPe+aW9N1+TNyiiYG0Lo4PneTCtVlSwx1PTL7C+4x+rWoU0lcq +wgTbG6zGQLDlx+BJp9P80K1rnpdBgz6OyomhXTBwA1p09wb3ZUkJywln1f175+MODOZyJ/MI853n +Me/7M/dOEcMVB/cYuKgb5j35tigjWli6sMwoRwqYLGaEK11wtyMc13CvKA73jHuI02Q2w89gg9ec +kgl4d1BgiJwM33sZalBNubOL2VUytZfRU80kz3G0g6Rm0ULQTcxeaMAsbSd5C88oAHADY2/40kZB +vvHJmKzR2mm9mKPrhfAFF0ySLXXw2FuzJNjkD4mzqkH2AWMCNyi3XgXxEsLxxJQHiIoNElfDiYkW +gN+8xLGK7yQj6pyTKqa2+fZ2rNY8ZFQOYHTKNz6cE3HYIavu+gHPl164MYKz7lgCrz/revx5DDzE +U8Vll4lRWECiB+0FmctjdvvR0ZOxIkeA8GM3yYZ0oKCfx2IjK7s3uqC47cbyQ8NcmmX+sxSA4+UA +SdhQ5h6XpAdsxQnOsE3iBCiYYwtWcmqXz9HblKZkd1Nu2YZNhPpNmMdi9Cim3OvETg6yk6rMQWQs +iEZ7gwxE3CG/raxZijD+Dv3zGKCdcXVTni33Cpi0EcYe8o1rtP4TM1gESmxBGSdUwQMhVOVGMvOO +yFvmB+s5CmTRxqZQbE/EmiQ+CbO2htQhR9+GA1Wr0j2A6nt3f81iTjpsImSrqKvWYXZ9aUlGVUeU +s3lWeBqJ6GEtRQ2wTMv99kCk4Hd5O5cJLQlLOPB2mBhim0+k6opDivNUBz/dIVLIzGnzZDZcluaD +Yyg1Nh/WfWKaHT4AoW4UYzuX/EbpNebp5NY0OzjlvOTpgYOtKuAW9jR9mIR6z/V5ICw0gIpXS8V0 +BafTK+FyPL5n5heBMcI9ezXlS0MjVev4IPI3BCvTvnH2pcyT1qFVOpgza8ZnJe11v/z5RKjSGsbU +hYcfKjWJbQDDE8kMaH6PLg8joEoLc8+dRvheXsFTvmOoCkRBLd3pn4OV5dF3UcEL0B1s2febjsE2 +bMeD2rCweInjMzLxSzISnEKybOyjFNB601K+Z0s0AmBdBcRc+5+gLh2Rj2KaI6hlGhMPXFs5XuZF +uMvIzCJNPjL+IYJ039eLNB8dgPyHY8KGJ5raeioa/vJF4rOqBnzz06q88giTmhnYWMOI7fSczj+0 +3JlRll+3/Gzp/GrL2tTfXUM8wIjR7Uo3LvZWmqhWbpR1/OSypdizIlCbDoWJw97AiH1NezoLaU8e +2rNbB6knakigAbf4XZ3ZDJxDPhmzW5YtwPaimivOkpAdEQwtRtRJ8wENFuyyuatUKphJpASuXDpX +OUjj+lGjF6vtcM2MbVx/fN4bK90Q3HxmAtpwiVsr8/uZFG7CzGzGWGJThX8yzorrL80yUUDgTZps +8yRFcMBn3KFvTZaCD9mbTnF8Jli1akKFhD1V4EooLGIdEs5mB4jsZjIfkgHlHMdf7RAWXHvN3K34 +zv23+wtN2BlBwjpSdGJ2RUAocq+UZGhugmSjGusp2iaP2rg/LfyR6LGIW3vnH3cUhhq1oH4xuM/C +cKKz01U2FA/Ew77U3/e+oW/NEfiu4gDEwsZZEToJWG0Le61E+a/D0uiR/jVQQ3cHj35NA0eHKTSH +aELnzv7W8oVHnWzqJZ5+XB1U7X0HqiIpF9ZLclfY9K8hXZaYxRU1zXWqVYtkIzdRZzD2009Qlmin ++qwqHQrzGRrvsfT/2RtlGsRtWt+2tMzXGpTInEOO+LgBUfvwPsJ51HmrIzBumy1QlupGuzUJ4Dne +blpOpW53QIy69e0U4gHWGGlwGNsE4salCpHK3C2/sArpqcLRJwkBL+Pj/+ftglUVHiRU1g4JnOYt +XzcNCc1BlkpcrU40gvzclAKLWcfjwrvZ/hdVPwZdcKTnDstXu+Ze2a5pGhVk08D2PWOzB5vj/DD8 +md5K0VmThDraGboovYGl+SYGW5W4lFoz7NVYg2PwkEvvpPNEdZ6zQQoUprZJ2FAcx8Dm9Uhdg+dd +YofgDqUv0UoUM2EFzdLkqbOMzFcHFcT7JOLIswMWXkA1sd/LEaXdplfXVO4J38zvzrC83HtbkP16 +ztwtinl2vdmbwxvdlyEM8c0d6w9gEt0/kqkTqGsq55dX4DVaGHVYJXl5w3WqCHzZxbaSWrBz8yNq +zDu2aLkDReZfbnA2ChseNjFAjfukDlon02w9tEVgqm6ybSP5JmFpsh1kJeogXNpqoPDRJnhHOsnw +UXOuyFABwHNrAN6bFIegxDrfrIBDwWc8Gmi+9hoNoJAsup5OyqLQbSM2jytA6MK3ktq6R5UxqpMp +yY5l4sWLfxcBM+b7IUj0Nw17Ryp7qFCvPIlUs76EHVc61JKFm55rp33WVMsQWdRw84jSlfY1/MCa +m3EQMbrBob8gFtIsayLxb1HSKFzfUKZJ8+Ca3eKdlQeWMQlnpYvt1Mx7pnwuZfxkt2pws0HKKtdm +PYrb5mxPrSRG2Q1lJxCwsgS8t7V0qK24EMn8XW1HoAGsqKQ2qMKz+PgdtS3EAMkO4IaXC8tPOv7Z +AsDaQnX6FWXGZ8QJxQRblJ14eUcDYy8d1P32/jHYb8b4AtNmTK3eTArSeNs7d0hzOv7DnPMeLVMe +0xNRJJ1kSus4GrsahZP3A1uF9rgZCGAmiWo3l5K6ZzYtKDVj+pTp/X4SO9Gnwj/gtfvnxNquWAJK +oQdEQ9ruEm+ABi/G0lDF8sCOHDeNJTmzT9NHXQPaiFbnHjFpVCl0UUQmi9BJunWhufKUKcqlQHQ8 +4eDFGNYWpX7e51zYtp6t5Sw2mMBXmz43ZM/NmnOrJRnHMDkvqDpjMK3zcWluXSACSVjzWdOiJlV2 +3vM1rGMsntdgw72gPuKgfGFcoy+cm/8+NuBUfzl4jl4h4oxbnidbkiE1eBgLfR5UV9gOix2eZfNp +St7kZJNRLZBx8PMT++0VgIG/k9So/WGdL0nK4MYN2CyLUwimEkW4bNT+qcpTcS+1/+EwA+mHlWh3 +yRi5luQa2nl+s9d4C5rjLEWyC0b0oz/X2QjADICiXU8yRp7hfAgp0ZoWjQOb/rzhl9o3CsLWAJFU +a6ho9gwes5rCWAuahJODNRz77hI2Co/RVGY3mNy/MM1/sLHNtOLHAg8HNbOKLbVggWITGCPF82cg +pa1yd+E0/OOF+KvbSfJ10PPR3q4NL4BNEnpN9sY7EKkgvjsPAGyLdA1iXiJAsUeIXEZ4+0qwIkQZ +SYoMXxEjw6jqn8whDeJfF331uMM6syQd2oCgfYSUP4xwrUz5d7LwOrNDDxiczDzkzCG+CjR7P0UX +sFCyQ3dzODFAXjoJsZrJl7ZYaWTdmoMw/klPaCwHRVsYtNgzuym2LI1FG5vKPR9AUoG4Qk5Jcn1i +jEof+iyjlJc/2v0X/73ha3iQgUEH3aON+s4Vp65tRkQSSEJb9grPO+jksODTCrS8LB9ae6jMaoWI +yrFOHvrkcLnupMxbeeG+D0CWTBEU3Bcr+yuXjBCcg4Q0MhM5Xx7h00D4SLBg4yOq9nLdJO0eJ99d +8U3/CEBJaGl949uwh2M21F/HIRGrYttl2DZPy8LdKkRf/w3hn+mhYKi0D3v7Tw2IBclMDTZLG+DW +IhzxZJIyBlmhlQRVVy3/7wa/u+gwcB8ct8QnSpBThM4zOaUo3mejCwDtFLKLeZqt7czdBv7k3EJV +SfJwavD8/ZG4Iarv61JaHYF1NCfgIF9sdrQ1qDdcH6imxd9TSSikJgMCIKWBAHg1F/1btyLHeuOW +YprTSveyz7pfDM7GV50YUFXsX4Nq5isVhkxTCRUwCIDidv44PS0FcgfCGTNcY+XJyqvslioFwE22 +951Ew0GDIIYA+vTmOT71L4wwmNEhZZ/QFcHrWyXvSgMsMPQlrXrv0i+0NT2HZhuMeGfUFpdK7lq7 ++FELHOWyVf6XxITnbj/URDqZsxVzudnJC4+RfWcoDqNCkDxYnmU7N/coI8OvSguTxtJV2XZntlkR +aLxt7OvQNrLaeENi01GRU2B05PmUIa3/VE9Ug4eHlroTTBxNwwaR+U5cg1/c3F2z877kbWK4AO50 +mQSbawdQpXTSS6dN1fTp0CaCk9O5Q3FnaNdD4FHOLwshVWtad9WGcJ3bbpDBufK4Ad4Kt3scXAMe +DDPlyGiWbWBgYDclnxB+8m2sR75exJ1fmu1RaA05wU4qfwAXPzcvWBs2Zj61pmuyJvZ7ED7o6vfy +HKPnKKPodJyYFIGgOfJSrOaZH4x9+Dk0ZmuOFe37HNBOAv+qiyK3T5WrBTmPIkaKQe3LcUAoDmt9 +RWNTxr0xDvRKCo2eafr93x4jVLJ3HUsNSbr/0lQxB4MtT7Weqqg56CLC6WPLlrvg+fvihXtruYRz +zrfWDy1hAd6cCTatTJtnT8tuhhA0AfAxlooElmTasj6Jm/r8hI92g6/hHJw2nyCkNDXpnZQWNTIm +bxi8NTQZid1gfaL632IVKSqT7zqO6D66Z2aOP8lUsgqbI+aITNUwEsnWf0qtiLiwq6yI6VDT2X8E +ptb8uP3Hn41L/VbDAY56kceWLVW+Q/I8VX34qPMvL8aelumCTR5cLyuNy3NJXMy9kJDzvL8h95Ke +DBWCu+6nsQut/atwXycQFEK6l1QSPHRfMYaG8nicfb/ZyWB066xOKrYkbJHUv5vdo01mcHETJffU +TMCnAYfCPz2Y8aNLTTVptDiD86PvYLzKBf26T/ZTZBgSJSZ+1j53nXqgE1e9L1jEZIaa8QSzgz7g +2Ci5VNDEVTNVc56KQXgO8gaiwue5HrIbxmfXvLvs3qeFFKYbHAcQyqUpjylIDXCN1cAZQxCfEgVf +Xg+gCjQdT9m2jcoOq2LmFWcUN2qlV8FB+Rd8hXbaMoSq79KBfPixIoPx9prX1Bijuo4BxPnI0AhE +VHos6RPQ3F9DqEDqlFS6pMD82EfQSvtBUkRqQlYkn3dEuu7dGA2lKGMnNwmWP6UsEMhjLmlgJT8+ +o0+LhmAMsH7OKmKZeLb4+myWzU0waFi2NbEvRp6A1hxXNjmyxFeYKPk7NYvxsKwa+LmfoZx/Iy5p +QtfQCY5W7PUlZ5cKOd/kp+Kf2jcdz3sL6orMWiFhF1IuO/TiFqTiPrrTDzfC9VySTxsJho8tcZ1d +IM6NbTMT1kFfVMcfv6bai2vy4IXVGhEzjAQHYhAhd8w3/lJsVvUPXr1Ci3Ar7zm/SIGdhhT75EGl +/EAsv0LCCy0viqvt8XRxE2wnGPquqoMKI0nHUI2byaRfnHrGVi5r0v+8aUYHPc0SAViKESyj+dCO +usLeROPzjeC93F24pUM0+unQklDGi2HxzHrU6Q2hKvfUPlSpVYy+YliU/YWnyhGgBHoqVUVcWbJF +57yWy3j5hvbg7yzCi4Z1QQXI9UonlVo8aLQ47/Q7qECPoCz4SW+Ad249xLdjbdfcJI9YSce9euef +AVRScOPYbQxS7vp98aiEtycedUtOT8QXdSh+g0YxGMdM76sYfx1NA45ePLPPgTeIqJavpXiuMEuV +U9C3d63ULZGfperGIsm3ymwCvyHndMezhHFL3ecgZTnR33PWVEfmForBqTLEeHymC8ushScCHmL8 +Uz4zw4sj/AeWsyl2gQrOZohnE2qhoNTopYcNESJ/7r65p7qifo7JrXVffFaedxw6GTpyla1lUfiw +LqsDznIdlgpU2dMe/d8if0Q70bU5pKIJPh/KuVv57QzvVLQjqIrnI1fJ7VoldslkKYY1Qo9b+HTZ +FSHNDkIsKbRnusdPWCn1LGfQteX25MRo8gTAfhrLSdQGx0xVIYZygBrmUS3kFMLXeMpshs1bsTzT +TVcdbMmq+/5N24trTJfP6vBe2ohW/XwYrbnPBRTFhliJpRUcms33g2cIfa9Pi0+iSOwGCzfdInNw +MneDFoMhozJCepRZZgp3FE6sU+U8YZTTkq3JSXyjCQnpy9R5xvgHJa2CHmSu/Bg5CMBgI335kNN/ +PQgnH6J4GdAUbKGDfO4SX1h4rP95zZ6Go04WHSq0GnyK2kV/YeNqKEQRMO5hJldcqnIaBvuP1U3G +Sm4o7FKZrmsRdSHH0jCv+hov0Nf6+IxhWQDi8Hc2pfSzQNgidaYwG6sLMJDvBsmGYUTTre8Q3I5/ +z+yfFjsUj6XjVxUjyF+vSOVv7La1jmFYLmGZyefXL3x3QL4Dtzg0Y5LEV8AhwsNkNGDbfOElSPjw +Jfj/PTNGRnhHv2RqNI+NcqhpnmWy0gMaWQd5hieKUhSf+UnD93wehAkGGuo/M2XvMPYvFmGtDBH1 +Ls8xesPC+Et3UnhEOQDy7Ajev+S3dMvW9DdLQNy7aAny1rRVbVJRIJaRXDtPLHXfeJj1zCDlzlbt +XZ7csxq6aQHlyVL8hJhs8yL5BOgmyraWOyKxlglMxCf2vc4/aDAKTp3jXTxYvZakRaJRgsoXiiYd +eCoupUyruYyi/XDAIU9Rowe/pw6ANm9OytnK94EM2w1mmrd35IA2XG7E+EldcaIKcXW97RHzSfzd +WSaM5rvuYnF5o9JEcgGdUYf+4I2hyrdHJpt6bMgIjvjnXXdHlHiKM5ibjWjBwpgnx7iBMMk5VmeZ +gsgp+YijqB6PPNgrmNk8vARWKXSx0rXbENKoKhyx8rFwBdWmiBpZ25OsrJJe0PZY2v00boXqZUy6 +z0CbMSe5qRlNIWDj6RQZKAzvkqhgYwo3Vne9FZ5p/MXQ93ssFCM5F6MhG+HI33jN1SLTRc8j8k6y +U1ghUAGLgzkeyIVH0hy3VMtGR0FhRt+et7zTtacwWkNu60K9oi7oMxoflLsPM9gjj3jwW62eFdfK +w1x4hlzfaNS84uJjZZ+6rS8x22cJbHBdESleMr5sYXzStu/WVvjZahtYF37h+mK6Jp/BkrrWXWay +yZ1+M/lLDSqCDUHCo5S+xazFp2tIqsdMSIAZ94+QQpIMOXVdC+wxTGAn/equeBoHkdin9Oz7Wzah +6tBtoff+2MRuBfhj+xzdF03yvJXPY/6dAOs7ZPW5T9uDKJ9D1Vt79gDy728BDJV2VJnem7P5nfaH +8LtSkwr/LV/ugp1dtHYgu2jX7oCwJCIODmtiVjAA+VinepWiyuuRtpihRUv/B+Ud2BxqZZO7w+GW +awC3W/meEronEHcEdA4U0aSE+XlEDejsu0FweTfByLvT5eyre+Kn1MuBWHCgc1mseZJehuPpSgXI +LcgwY6m80e5TenyFBtcsr5AlS34DJN4HgRQrfS7rnE8E4RtpqcjN4ipZP0AvO7w5re24ylYowgFk +0yTlonTdO2Zu/WSglB14wuYfgjKqZ6oweLTd7lLqQga9xkwEpQqKI7BuEtl8Dksz18yC5EfkYU4o +TyNSENqiqUuQFuwHvw5sWliFxJzcCHE573gYRh74svao2dm0yP1/u8FEsykfdcz/LssauMrw4cpd +v8g12vSH6vEOYAlwWf/JWGijC5QJMMcF3JX5tpwXu9A5jizmKNsLbOJPZUSuSH7AhGYeXwlJ1ZcG +yqbTvqrq96niRDEC4NvuyWB4+QrHl+TyrBsYIAzhuQhduREOcUrD8OuKrI/WuQWdlwiGLmLXYzsQ +MHWJbQMZk/sevWcSr1GpHIuXf2jEBn+HCRPTAhIeblY/YjqOfXnR5VBK3mXRY06MOE06mQM2TWSE +93SWUkJsmgMmr9xPArA+c9zrAB9z3TDrLWmtXNbQA5tMidtleZ88h5RnvZLHu9uCzzWuZOHP/Xr7 +/DcUWort2LHMPSo8cfrj8/oZmZJQzD9XnTg1lpw6GI1I3bNRCi0aKtyzN0ypd9U1GYh4L7qgjzoz +qrUjmYREUKYFC3Wlci9hkOIPdDd3d4tiMRmU0H9Y8lyYFviJTGtwsZU7IrphoHN5Y2bKmCGnsIFT +wC2WPIvFe+QFBso6EIcnR/nXnn+UycJKV4RClrCgF0G+CWTQ25xzCvoyOxrHNuc8hhD3HdSy3OoB +uae1dKAr4KDsr9CGQYOyAsr7yHdjxXy+so24WiQhfkNDcZg+ewBySWJCwQdPtpC2DPpO9+iesAzq +FxAZ3WVgEdzvSo6fyRPTwzcKIoAm6DBrJ98hg/QnQ6sR9JBlJk5K+Q6ZrARiVFCHbWyGHsPpesIA +gowRwXqU2qUc82EDziigBGgEilIVgEL6e7RfTp558yanMFSGw8sNAzOCcQxiEYqUsYWEYxbXIyA/ +MEtkZhbvykNVTg+d/qa7sTEts5qbLHszsgdo+zFdadhcoXfZhsBRSmDTxGkUWzEIT1mxfd1sRkf2 +mwvuYLwHywcqexF+qeyxNTEJIlfc74CxpQ7OH2vkO3QWpAjnuESWf6dwjmhMRy5LQGyzgPi/h2uZ +cJM20TZjoUHAxqsTwOa3sHEeSEv/k/7XEoRa6KTlwr/mAUSBVioq7vRLeCrdfCCCwiYRRrHm204m +77iD0VyECvihUpuN9QvU+dCnwWtvpeGJqnD/J/EZyZbhamMlxH7U1k47WOapH5mmD5WA/Io0kaU6 +D68he+JT2PduxHDcIXlUA0p37GtWo9pvGQAlzN40wSuGy2eqBK1DiAbPSdwSH8Mtmoy6itXmgLB2 +hKSBwF8yT8eCLL53YoZBRPcf+k7BGZfvPQGXbL2iXve/Weyg7Vx1F/usxAw7O8F6efmmLiCTa1Xh +7hxBnnNLelwdZhrCo1716Q9hUHjM5hg0K4JoxCMUn+z06bRf/HhUJmUi95enBDG79Bu0PH60dUcX +Vdf6iH92DvCmB/DNZCcnWcS6pmb563SUa4yyfJPFVJkEp9kZ0Dxd5GnO+og5yySqcT6WYwXlaXdh +1JaI4AytSjkxyAhE/QhZuiKDgmekctUMh7Msw+KhtmdXnbpgGcKAvzDuC0IDzIc1kVQIVCR9FwVc +7ntKwdtGeyP4u2nxJ+AC5v3bH4Y9d3cvb8khs8M2oNK56vwpHaY4YiuUOrRmhFeaAIgk3nXp5ppU +5s7msZFwYGdsTpZmPysgj4blQcJUGwp7Zq0CqLHRKgtl+s8ELbBDkS2aFzoRqYsP8jH1AJqok0ZR +5slrUKhYLURDCOD5UMj8LMksDiadmqxLlbBfpgnmCsdW8Ke1q/yz4FODF9a2BVElbHy87WoVb+j4 +/fK/pkJNC8h/tGm/Hxr3yuKpVJR9aI2SZYt8/yY+3IhdTKYvl4QLTdiCRdI/NnlF8131maugC9ni +6AQ+22rPx3c6i4BktfNl244ZEqQxVQpOqlt87VXlaGc911dbxXThO7i+MVQv5vow++zMgROFQ+Wi +xRce2lMWU9/PJHT4l/9sttxIouIv9UpCFREmNrAgXjE5UESgCKU/gpWeILt8ju/NXFG1+Oo1ubuT +49ieJ5ZHdMf6Yeteh/HnsNtsxlMETPBL6Dh1JHIc/HClLxz7U6XWJSJE359tH1epc6X+Ky+Q54Jb +oWncYRaNs5PdhWhwQZBXgXBwtzMJD1J+u7CbALauXkJmUiJxH6E30rDCKKMJqn+9za7VnJgprCsM +PHPkS09bWKlyWbUDOrAAkSg+R+cMkWjXI5ROOJ0QA6VBwz8pyF5SfTwEMC+Re+03GUTJsTsOtST6 +OTtYZhr2K+gqH4I5G9SFLXsfHzO7An6dUCojn4OZxtTsGWHYPxx543FT3FmxaA55aPFvVffVno+V +buIfzHRd9ru9zhzJFDv6bwHdWKuinmDkF+yw9xwBTi7tcl0DcVCYEzG7jnrQtNXah2nKXHwWNzC/ +/n/mBCZfjyfxgRPRRj9Ol4c51aUY5uOPhDP3jJKdXCVpNC2Bm9y8V1mWCDAXQJUjCVQG76aRzWdZ +dNkukvCWKOTfqKclF8M1JyY+6x6ljqKF+Y/fn3uHB1IoLWrZZNnOBQqEnJeuLjSckhs6oZ388JYs +3MDiyjiSa3uyJbvrOK4f+9f6ujs4peyrWaHRfXAVJIksChHRLommv2Fd82P+xAdlAXpDOEELC2+g +MKdDotnLKQna9Mel0V6Z5l6NKyEggXQbSMxbs6pCP4NC05Nb3zJJJyjfDD16tTcA1H3k1Aup3VVe +BaeDr2si53DQYfirDaN/3Z2n+iOrYWreS9Zj9X/l7K7+EnV/BxMww1POvag5qkQHjsa8eRjbP5fN +Yvl4EFYWaBo1sm72DqDLtatwjiYu0ks1u3gLWGJM7sveMeH3pJFUFD97NfZq+ieOA16xfHOsGdn9 +WuSvGmD1GifblBbb9KBUUTouS25TRAcIJwrTf01Eg8qUdWf7mXLhfi71OI1OtOUyYnKBf/aQNkGN +p0PE4G0ZV6usITr4+HKIDgERJRYmGa+L0ckjO4WiAjcPuEDcC4sCX3L0v7pJ6u54+5LHAiCGHtbt ++MiHfQDosS8m0dw0xLHi/W7BBKyPrnOUXNKL9aJGjgUITYofTxGMlIez0Uac8D98oXwe/9dd7T4c +FWGWyjQhlrY/e1fQc1DR8SWk5ZUdDh2ocor/CulegLNXWWyHuRNrX6NK8v7cnzb3NYa9opRlkntu +OipM+p6alTALSyHwJTo5gnwfO7thPI8n96W9VSAeXuXrlJhT7FzGBZFBYTiZYvwPaDl4y/LEHvlQ +ZjR5cRs2iHNDR1IhhXjDOIg66kcn6rmLRCp/htcxU5S38K1gipVk3V9u9Pi7Wq0G5eWxWOSfY1ZO +hO6HWgwXcpa22ipid3zuU2AtMA14wbDTmycG1A9EqT662sn7bPnLT2H16Yaqp1C2qV+Ml3WdKd7t +wcSHGg3OvhV72FK18aMjbL2KSD3Z9xYK/6Ve/s4KDBhHF5y2jK4pLor1Ai2sKG0KIruUuVySFGUo +p+qREfmpV2MP04Db92KcLLZVC7/9HJ307IQFKl4MXQTJmFH1v6hk+8UwZSuv7P/bdGIMMs+UfChv +yC2z3rLMx1YZWVJUUQd6f6dzUq9L6XwbHl72/KP9PF3OkHa9SecbVbaeDObsH09vkgbhUDkqeCB+ +awZS4WKBxdm/j9DfPTkoe/Eq0eXMW+zAqHdbGa1u6TC/TW4Nb9VxYeR0xTqNUQqPqjlDD63RA1q2 +cpfzYoOCoahJADXQBrJ7n8MtHadRaJAClDPuOVOhtm85ZRpaxtPl9wVbQer9XvKTMk969mGUBY2b +BXloYpeJDShh6GOlKLTFnso9V+xuAUxXALuaNHpTVcWZKVBb5LuZaPwoSJv1AC34/UGMS9uN8g6O +opa50nLqwIOz1MqmZcwFszvCVjbliUle342/5SvVJscQzaRSw2u/fGzfAorOMRFEWUask1H5yKH9 +57jfepZMBK6jb2R+4BmQbRfDcASETS5AFmui6RzhjkxxK8i+t7us+mJLyURrmCbnNBXf2vQMBFvZ +De9IF5peeIVWdREU/z5olTA59L+gOtwfM5gym4vOjtEObx2bvVevwcOvIZWynkMIzLJDrbbSwgOQ +psEIp8/hSJwgxoyCaQbHHmyJmQ8GLGmLS9UTrElv8DyiD/G0OQD22cLV7EHwvuFMQFT0qxZFfIMh +idyJR+FQqPnt4GN0as0p7GhtjVrz13zCWXEqQeTl2hBhkaGJOiJmu9PdBHXWaaBOgVL6mbj/XEqI +0mnv81T9IvlkP6POAXTGY18LvDv0/03pq912Iz7/pwJNTzEVVwrXUlmxNdGxmKPtET64rYoQZQ3U +m6n+WMY6nuSkENgpxYwi7pnJzy7K7mpzTPLGPc6E+rN9+ScTdf/VSywSX1dBCM6FZSoXTAJ4pxwD +pBLYiHdoB8oJz8FySftYN+Qq9FpDGeYLnz6jRbGxMYvdwJ9d811sRoBpQlRun5RsyE2FH+lPjRqg +AYxCtUnSxOJOiMYG8CrbHEAYrIyl5xq7OmKH3aDLgOi97hFq3HXR3Ee5rS8zQ6COMqtRL8vri4eD +ZsXaglg4rQRciteatiyRwRu5DKdX12bB7ct7i+ZWooZ4M6dCWTKhAWFVEZ3OGPbThqzANjFC244n +VgdvLfz9JD5Yp4u5kMqBMWMobzCKgNW3EiIEcAbq5WwnFYrkGorAa23sTR2ByZQmO6fr+pLXjDKP +wjtNq2t1uoM9Ie86dBR6S50HAkdS3xFV404vB8jPWzuKfr42ISv1Obw2rHLc5fF10l/6VSXRy3N7 +3ID41oxk6Fk5klakmBair56+lhZviyWEi88sNGN6uA7w4xcR3SgmLfPTAKsOOjrupdUdRW9Y92pU +N+Bk+cKKC9fHGGFaQiK0DlRsI90ql3BZ6fv412Cy1Wyi2uA/D7bGOrlX5eu4tu5DmkalP91nO5r5 +mN//EZJT2NbGTXCrxmw3seaLcvWwEwQURfh1Q7o8ytoLn0OWF71ZOLGv5/hTrwER5HF+QHJjeJY+ +VsrVm3epmngHXnWQaWRLMJqVCCjySuhfQGb1qdR9hTz8nzVXKVxsEQBpp9aA/g4wkk8f4CQ78Xhi +ObJiZTsyumlctyohORiKPMxPSSICw/1INmJ+7HEhw2iUoW2kEn13U84Rdu2ec+ANT92CAdVvHkUu +9YiFmD4mmbrLIJ2lDUA3rMlwGw5mZcOV69Z2duPMl/VBl63CEM1oUL3sO8dEW2EFBkl/SX560GoV +FVVH4vWdFoJ++eInNV8PHe3eGTKvMQrObOcuHbJzoAY2cP+yfhrqvEL7WrE78Igd6Bxwe78ZZ6xL +GwdUFk1/1m5zlV1rw1fo3M4J7KUh9BAzJQr2kYqjugIT1Kq6+Uyt8eK9ECJbXms5UUFB6e8RdHSy +qyHgDIFCQylsNSJT7/ymNCVwLvA0IDDA0+U/zCtC3yY+YguD9JYXGDcSOvb+gM5Ra3RW1I3YoR/4 +DHqN21+i3X9I7xc3bAM8eRqlJBdjpx2KjK3VoiJSReS7Cj0JnezypOwd9Sl7qPwmpTZT/iMZ5Dqb +aOThUZyoAYHG5nyzuy/wvBVFjmnd39ZBkwiPa3VN2dutbBhfnct2cGL9gSMS5o9PoKTH5va9/xBj +ysF+lX9yCKqEKNLvpxZfwW5HaUh+kFWYPqK6tmhtjx1+c62YwHa66Fmbyi0Z2HOG1tJhn/WASLtP +Lzl5bw8z8OexCZF4tmwEvl16is7D2XRAVz5bRcDJxkNxwtk4fT37wT/M8BB7CTss4tUot57kamoc +FEhBG3UZrl0gUOiuFGrfXpovHuron8j46kxb4UdqXn0KWd+zer4Y1FS/c62cM1Pf6QIFy4h3ecxh +GFFos4v1jh60iSWrKvheSZylL9ciiU5cqnYvyayXA7MGC6Y2UjrKkEAmasuHPYBzz+XmOzvnPgim +QYwh3FmzvzNCRnTUQ7/FASPyCzjQU6/SCbaOGpUCCXc+izeoDvHZ/rf9hflpiDHXC3hIa2aQ2K0I +Sa/B4ar3XS+683gpkAPkXB2oAgQCMdU7CIssT2kRo1WRpI//Nt72PTqQ7ucsup+UJRhkOiAobbpR +t0BmigOolATGe5PuxxyLqTEIKgrVO9g25jIABRBILwMO7hTUGDCM8X0doKT7Dyq7iqZzhAjCExEu +ZpG+/zURoPjPDPiukL65NvuXRCsJvs78PDfoP87lMI4Bdxct+k2K3F5/N7lr7WczTr/R0lMVPGmm +obvXfQjRKN+VbNEiG2SsEkm67gPpVSNdXxrrcXjr+zN1RqAdP+XsivXWbATXezfgOhAB2rYNGXkG +VHKwOwv05rGS89FzJ5O5AJZjhSKUsCj3ZeZC2N0ikAhvedezJgCiE80Rr04hDXZ1O6G1wHbreSuG +sz9GyoDVFcIvS38iMjiTM1OJsZwya72d1maknYKeOP1BqwtdOxNHEiepO8EESBXbbteKcD9j5xGo +u0r5TX5hyLpPseaYQkyyORkchGd3a3Koyvvz6OBkN1PyaO9gb36Bc/UPxzQHu95crSO4cZNFfH4M +IOXC/DDn7wKWVFfjehSCHIJZhTMwZcg2TazOxAiY7AomkRl75WqY76woXF+otxxRUFIHb6AXFm0S +2NXSt5H9TAeoYEOnWfG9zdPjfdQtngRJQMH/gb8jji5/hwHun4yAxpRf2Vv+9bQHDC07esH559DO +fxwSk42a1MJQiFKTH8+Br1FxunnFrzCv7AXmvd5kcPBDU2JbM+Atuz1Hnlhuha8gD7L0TyXe1K0j +X2tTJfKaMTD3cYtXR/DBxbUDR1JJJv21LpMXqaA4A4J9aQmWOfkg/mCXnW+BogS7iQG3szLiRYAN +2G+isJWKEqg9JSh67K4XiY88xNGD2xb0mVbTFdUupBA0K0wr3YGFNon87RYEpL3MI03+Urfm/pD/ +DUtmOZNA9FP1ag75MewtcNuP69FQmS3CHnAI+r/v2yAf2w3EoNEaRWc/8in2b5UTLHJYhCYnmcJG +G0vrUEJRSwDl8jzWzRoEDX8eIGJT/ayD6lne1wa4q+jb3muQO0M1vpelSGXR9asUwsmDJcKmt35y +EFpsfREvSuMpP/zZbQF9yiPNMogN03k2dTL+UBVWLV9Lg+HfrydzmSMlWa4bLAiMMw/5etOMusme +hyrv2kiuGTRNZjMj3AnBxt80Qgg1hRXBzzbcuHge6Uq28ukFE0sB0ATgpbjOPXwkObW3i5U49nIE +lpINL3Zv5OmTG7CJZg77ewqJV92rrDqQY5k6AJBgEcfLxly/g8pnmXFUVjLVo7eeuZbJMBN6F3Uh +HuN15Seh6rKA77xza0TCFNkOhXKzs54MkzPxfbDRrJVIBxElJVcbLoXNQ5/CLYJ5fa2kO3+SAmmR +AXAs8m54mZ992bC8ffHSkpojC4rWWZUl31zroQiAZVT1W0ajE86Rj1YyGGlMXbnYcdvugGIMIRRF +89Dncf7MrOdlzdcgvP6QZKBkqrIPLRNXrwCXtKKJ+OpXIADI6qPgj/yBt5hy0qhZDWcWEOecbS2n +lHKxKU386njmMCiKhHG++Q7s+5F2LxjARY/IYhu5KQLb+YXwz5Irhrao5DP4H94xFD9NgZxDyIv9 +PGT4xP3sssUXqVjtvUdj9uSW5bLK9FzJ17sv3dgAftmRbdC5bf4/zQ4LV4RW5Vjdn3Ry7QA2Kpgm +FITIz0dDojcIHODqcMhkk7hnoyexDYIRQux0Dxu7l4Hp4FcWUlZGsXu7vpNMxeXq7QmICR5VFeLl +4bbYTLtEow4gHzAzWUvF9ZpK1UNBtPTUSyc8EwVt9xmCgBLbx7DpxPxDrphODwDG8jz6dgBepIN+ +qYXDIyPbsUx4+WU7SBlOG1v1bQ9k9hnGUIH6QhbKscZnvyqCSVLkkKmAPzHLLl/PaFmBELTnosqv +Pz4hvdRL2C6nkzX7tUqw4vZEtx8v+nNs6eQ/E5ATb8IG5A6bi5br0847rMIQfqJO8bQ7juF7YC2e +tl0Z6TJz1KOV1mSI48t5YEY6r9PO2BitUzBIG01ObPMrdKB/ClZUeJ9D63bY1LGWYB1DYwFYEDA2 +LsDvOs6I/AeALCRoxPd/fIC3NUoLo/iVSjgXHKPpH3J+BRCACC2NFbdl4VkgS0Cj3s+NbEoDBKOD +yR4+pZudWCvsoh1FBF1eNzSfTg8y/npbz+S55NFcyfrgOUa/VLe2Qs4R9p66GRw8x5T7mND+5APx +kiCNzSGX2gkUUkjfQcEPibtJvx2daBLaDGIwSx8Oq0mqarJMwozwz/7M32/vr4Nu/Je8vNjfBPuG +9MP63DRsDDOi/3H0Lma6DX+UWXKQi7/oEN0Hoz5Pg06l5pBbNAgTIyTO3LdZ4mJAlJSKsrfxrWuo +KjzyGaDZ8YY7EuVzcW76FGShe1pDTSk3amB+JOzva8jASSCAlRO4d+ZLT9G0v9dvs8nDQ7dL6Zni +WnA6n4acB5Qmmlq+robhe170COV86fV3vqlWiahuyhX/MSNq2kYpWMLYQLubp7ipZQt6saWdPalo +SHZGKWwXnUivJGqZHj0VOT/dXRtxx7rUdJKgnFHhRNbs/O0SVTBMlWCqsp1RlNQIk3oIVFMs8qzA +kbWWq5LafR9GWVzUVCkHbqBGCkVjzVLq4JpNNQEKFE1AY5kPQZeLLlolPAOv3AW0CmSOiAUcZ7AE +vujTSdoDBCeWKS2z3mNTgeyflWqnvm6d0FiXL3IX0Kft5+TdLuJ8we2lZuS+rKEPL7urYRz2+pVz +9WZctK36YBsiQ1ZGCOPF+NnFoan/V/EcbjMSJKUEzr0WAQLPf7D/P4bI8uWcc96eSgjgZZV1skeH +LocTofJyZ86KHpCPZ9ewhYoDNyioRHvUzJOS8atSCcNFjpXg39tnAGO6+JWYZzkGXPiunRwv5PLR +5jPIZZXpNwM8MBy1AtMYK8h8DXT7vazmMeAFcpC9RVqbZqJWVN2jydz+0G1ClHaWh/A9BD4g8alA +S/bSO+ruj/XNaB/wja2eHe4RPOApNe1L53c03749KWGpmbtYOlCWXyN4xaSclGyqfeATVdpsCkng +fvZx4sBLGreFxQ0MfLkPupxLX6y4FpvbBb4XPTwBcl6U/BH4zwk4Tdjrk0SaG8FTlSfEshj706gv +vZEgpHD+qRqlH4zUqarI9Ca8zOd0deiwOuxgo89DnXdu6QVQ5T/LVT3Rh1+F1uPNllrR7LQvh9zl +2qsSJZP5J7a9P2ykjAyX5aLv+LXna7RepudBVjatex3t+cXC6Gfe/r1E0gkFpz/dvqWO+Wx3QJEt +G3QQ0YZTcsRR1fV8n9kma11p/sd8LCVssePNz9Wigx4lMVCkpFpGzlfZ+2B6EuPEI6Lkih0L1dxh +cQOUkZjFKanTJwzefBJdFieOCFcjoihYtzGF/NXVn+UblwDzO7/5emsOkgT1QnXLNxCqbtAKrss4 +Ca2PHn+mgZ55DYXVpeCUT/zrMKHPAKlc0bXbFDq2rMdSwwlPt3Ae02HK+mXiglmkowCmZAv+F9xH +eHdNFsPztQcE5510wTRk8kR9I5wEYRo5H9MHCKP2pUX3Q7Bg3qfAVQysiIjjgx0aXNZNUsfb6jpr +oYcOon2x+5Jk3E99uAcJJ3MC6TkP39CJvnX8wy+Ak2PDLeocAT+nL8zJohQ+SROnBjM/AlyVm1Wj +3SEfR05ZfqcR/T/XKLliciRFlaPcUTai/u8LZlZrIMfcLwppAVAOUd46BqS0mY3aJ4LiO8euhPOT +u8IzXTqZvDT82IHR5ktgSGTMNAJ5b2IhJJNT3su+yVHAHDxNG6F4xmDBvmrYJgHRNVPDG5Mf/x65 +rqY2r48CC8/Ds9Yu5Hc8q17nvsCGwf5rzwk6ho4yCVBEbJoxJ4PmzyrFY2by11O9jT1gGCTLFIBu +hNUuvLXeGxpbl60eNeBnrySGUeAZoQENMNttdqVz1MPSXVWbcvk6Hu/vnwQyiJ2bergcpR6VOjih +HWXZXK58fSbikUnlsFb2DV0eYJBPf5ZpjM2BwY1lV/F8SRnt0CSXj7YHEhIeF656GPulDIg+DjOf +fAhPJ/AnBiHZfpYvwtPnkVzKJF7e5Wooj6ErVt93ZWqDqOJH6BYYobBTReKRQcZwMlL7VH6OsDsS +iBjMQaEGX67PF8ThsyfiLOdw+ev3WEDmyECGVNk9cip7n/O45bvuJsHtDo3AjJSIrS/i6yDVtWJW +2qS7CM79Xc+jRafSruVZ+WBVvrURnNSFKtyfRzasYNCXaOEXmT5bIK3yo1VdufLLJaIiMRP+96Hu +Ukhu130IqD8iy/zNOdCtAh0W0LkwbbLw9Itjd271ORyA8cLmbXToOjSP5T+0rz+N9bgXCZ6lLzwX +HNI6WUehb1D8rOO4i8DmZy44oXhTV5l4bPiXA4MJHG+rT4mrMKCPn4RbXQHKXdWwWECQTpBKbKfo +/D3Krw5/jpw7TkgqPNz6mo1Lz9GJ4BAtrVDY76fs7QVIGVWPLCtFkESCwMyGWbjxQjYiUMlxvkCc +6DDp9zYgO90xN95wP8H2BrFv5mx8jy3AXl0/Lq2D5mVcZPZ9lfa9lhIcNmXp4GpOrYpghdSB+y56 +zzhaDNv4niPAbvlkH5rLPTT2KRCSLjCCwvUyZV/Q48cJ5KB9IGLTWM4VMmIQa5yImjrGEuILUjEI +rB9yRNf/YH9s8AdELVnpQG76eSN3K3H9E8ru09Dyf8+O+XG26KkUxXL1eiLFOE4W53kc5SiXMFBi +hwKwjDUjXO0FMS/SS4bGi/meO5JzQBBFqur+KV5QA5boXxe+CaQ2QHk+9meN31GVIP29J03lxLQI +82Mt21PkXGDzAOLWW1J8Nr8Gk7F02gX1kD4RLgZQOR3tl1A0WLxAPvupNuGxNxqbsCn/dXI0sHzD +NC9ePdLfQqxLwripzu3Ygcx7bkCubBTPR7/+cqTuXEDtULAEcGokIhThixNL7bkm0b3H18MV08aA +WX5K80firDGy3kPA6RzblpWY5V86nApGZ0UuD/ZfKdu8nw7KXfSSgidomHX6Awr+1j79Fjo/QJtS +RNAJJS8okRRQ3zuzZi3GJbUgKtoxcF4tUB5D7WB0Tl7hchdxdU7eLA8Fo45StvxQFZBzk5n7lGp3 +a/Cnta+RcvNT9ykQ+SCYJkwrW04t1wUOPaho3fr/Qb8jLbhNxHgu241krDdgFB+R5EqYa7MdHKMN +KyctT5PtnZaYHecym6UQprRFvfYRzx9zDldMdLU2imXDfDVtE2pKSK9Pdl5/HxVJh//deVGrPEQO +W3XOa8C5mUteVBb4vEAhZRcYE8yu0Rv2k6Th8TcXfaHkePCrqQhy9pk6Q4UkeHBshnqzWlYoUisb +41P1jW82zn1z7z2sGEQQZ1rEMmZUhsBC20tkV7/KcdmFIhoIE109tkzpd9b4C79RoGopT3WvXsID +FGinfmq48+sN1eXcS59hYZRQC63p2oYeaC5L7xhcMt+7seQ9P2qQjKxd5nmD6EyvD5jwQg2IwQXS +Fb9vmmmnl9J6zDFvpTDq+l9Aau2I+BuPMstLuHWoC4HYNmAN7LHBvetRYUORcmz5QgLyAJ5l7XsM +3/95yQ1AloLhNXQwkzYMYIOMcxTIvkGBK9XpXg8vfhFrMVuSKD+AtCRpbhU5gIMw34oyv1czkIoS +u43WVHNCLkalNGYY8VZKTvvzhF4fs7Nk70myxmwYaqt5tKjUzGXcLl2pSemLXTwy5N7MCLKX7x0W +cenIN9UqSgu+6/JN9JquD0VcIvt/m6Mg0WVc+e6z10q7uyMTaoAZn5psHGqX2NlFp9N0FtxweFcs +LJDyhxoILpB0NwK7l8WoKvtr4B+APK1t9KlSNHn33fjnuXy6i3cW0JzOc9uJ4KeV2qpWho7FoTD1 +zrvgRePCycINnxFkCVBUZg5LKwVKaL3yCNle8gtavk+Yk2ulEe+Eg3stpKWIdh8hKiGPS/piTbQC +ouWkXY5p/NGLuN2l1tPw1YF25xB69UuXL18jRRhteDU0RBmdCGzkjVm0u4oQuNdWWyQgnVXYug06 +LBt6dyRkzLrD8uGwQ7C/Y2celMqvwWHG4u7wAuYLyiUceuobzcYQorvNTybNBYBXtIgwSl2LrZvd +1CJKET+qHh4V6wrIF7fmjfqC7IBAPzG3LTJz16hDB6StYIA7Ov31hGrtMyymcaoARK4SMiErCNBy +HL6y1WqSLWFR/oF+zXPQrUgArTrxllCfi9EpNMIH2yCwJGwImYGyOqZbCXZXzp5hw4xMVqf/jbIp +IGedIQp1T32pjzI2VlR3x5iLTHlP5Zt5JTFGKAFcC+P0Q1ccnAdVxTOUaSOgGiX+lj8PZNeDxDuv +rClZsRlruqf3ekT9JzytL+/cLZqzE8E8yyNM1kgxqhsBG6HfwK+cmERLk3UmA24xDJ1HupraMDN4 +zGkLKizVKj6KXyvUsFnYJbvlxn3jfXqH65j580jotT4Ib+ovGYNDO6Lfu7/p/Acj3NV4cndVjYMS +hM5g89N5WC2EvPTBG9nWidrIGYw4A7mvQv73WNl/dEIdXsWbnWME4nib1opVmFrai7J3hUehp0ZK +Bf0WRLo6+Uv8X48u+ZzYwfPqa0+2NNjUbjClj9842Jiv/qzy5Vw4R03IsUlx8qKa1vcvIDJB1noU +uy66N8reZrqhqusBiAvx6mBuIvsfi7Yt8APdmfFp9iBwo6XNSY2QSOw+QUfcJmO/WfMgHhZT7gDm +QZy8RKlxgu5cQW+aoiahzuG4LQoXww7s8BA3pTSYy2uzIr91E0zbZymoym5xNXJJCrp/7JWIs+WH +XrhipK03Dc7qr9SksRdcKLL1n2xeAxvDV/3QfamGvTXs9C/efENpqUcFtG7P5H652pu9uTRMY80L +1SSEcjWqc42PjlR3Tej1ytXPzKe/t8/K7b1ZWn9SBPYtlGVYG9/5rU1DqgOl8B+mTdw3FyK4woB9 +D1iX3kfwotlswKxQ/jR9QmgSUGexb4nYlNgVbWUSQTJuuGcMnRdWDCWjj8oQt0mnesRkUXi/9mYB +g4OAIZsHsV5WqnTqDsozXO6rSMOyQgvvmC+rWROv1qcOVP5EPOcPI996apiA1S2XMtEDmyVF2iqu +oiigxaV2CuzUP+b3I2SFG4yIcj6AguNFRTc22rfrpkpAN+a2K7HWRtvVsFlpym8bOyk1W7vcF3hu +RXQ7+SZVaDrIUD/b6pLRGVH4mhg2+B5QlT4gMAeYOWDeR7pFQJEZkGCR5lTVIlS8Oi1+kkWLVJFr +OpyF21kkuZ1zAUct78sc/ZhwEuDnW0gvIK1BwAGoFNLL62FI3pIYFV2bpMlBkXWn2P25Pj6XfMy4 +KwGV4Hs8bPTN7yVIEt7XWFKbHZBMYveKpEDMFrUU4j99eMk4DISYDNbIjVeP7lcJc4/HgnxgL88m +/KX5DFddJUrbTBAjlTEJdTtujpiBwGPy/jkib/oJP5my7A8ZfK73uVghAxCcoEOY1gk327ztLB9H +e5MlE1mLS25A+fmsab0D5I37o1BZicdcmlpe0RQ8bqdgXHcc78x1bH0JzwmqgxQzS39CzqL3NoNN +KzJrbZWSJtOdKLaGEN4ZnjPBBu2MMk5SWs1c0TrCdzSXnytlglzycozkpTuBTN0YfRzZhkaEgd1u +pbBdA2BCyN+0LVaJVdGBmnUt3Dd6FOwAqn2i7bYu1NkCIbfoznGDSuvnDkn3Btyojq+1Ux8id9uX +zZu1VbNA20Lp6a4f7jx9R1iGSgbV/ByoHBYc36pf2RBEInaEq5RR9mnoEi4Pq4QMzHZK+uxV938u +mPm4IqMg0YZRsPlIGeM3wJO5ovib3cr7gAZtMwCt3zXswHmijM0pIonUyCSw0ZY0GSGOsn6CqOuu +ompUGRc4lrJgMbLg4/9tgNh9P5UhSMeG8BAke9xvDd9x7oLDXiYb+b3M8gHQGPkBxhnE9QHq7hGO ++Z+G3AwEUTFo2q6KLt0BJBnan7hOuSQYBL0LH9cLVNwnxtJvOVj2TqIrneAKM5mO4pqkGMp4ZuEm +rbBIchPamG6IJ/LQNNcZFYqgPAU3jo5KhMFyw63qpRHDZFGdI+ccpwFYURBRxuR8DgYVK4KwQKmx +B+GGcDmwQFALL4TLLljF5NKgiQ7A1E1R4BUimnTZ2fEWjI23R1qy9FtO3p+DuBR6XunmYJ5UojTW ++HSuSDcDWqOTTXkBs82Njb5/f9njG38GQrsFoTp2FLhNnZmmbdH1Y/erSEbh9mACuBZY2BJ7HTX9 +cSIom0LlovhusgmBrvLs22JUgIOYrDFJTPil9fDfYouCJUPqf/b3RFrItAUKhJY1ppSbdEf1WmVf +6S3QMTXbsTKyxuh3DIxXVbnxkbgTrd4LUwtcuAY5X5P1qN5VAtR8FqA9AKZVhIWHHyR0CuKb5NVf +VMza3g39gKagMIGWlnZq/gMlbQEjawW34y/UijzjMVV+7kQVVLeD48jPrd4f6H8zPCeIzwK7Q9VE +7oHyJjrToaN5P5wPHwV4yOZqutJFJtP6QNhB+mRzWHZNT2Sav+cKP4ui12gmu3MiKpGWJLg2tNy0 +v1Mkyjn3+W0Cd/t/1/V6ncmprvG9nJkT1u1smwfesIqgtQ41i/qwi6srlap0ejBjqkjn1Y4Rg6l3 +SiVPd1UR9TfUF4u7/8o9xMahaK476kmswUUC8o688SKs6937VuR+spFonRvnAoKL79M1oZHnrj2T +KNFHaHy4IWb7E+GP2x/MCSdgU8I54X5wJwOUC9Y5iKZGd2EdRsG8SEsZ6UUYwAmsCUtGTuS7tSC6 +nSKSEHrQ4dZXRIM7wAkIyzBb2xGHfHWsMQu6QQpHqV/ptOaOmEsSgZPIzZqsa7UyBDEwNOy7Se0S +Sd1y/cDK5BSK8BiSzzAMwQw9crxY9JCMhkAvrkaq4btB8ml/YpB8Sl3PqoneiuBqvXKtpfdjNJWp ++otTiasM97gtOJZw5Zq4KGDtyaIcrXrAqkDzwZqSLrfyXwc+V+OqrPQWUjb5l+KdN5AavhWVuFFx +0uYjGxHINsbFGHdFSeKMtBSKNvCB+1ustADQUb23lbX8vYRAuxBdLCnRZYhdJBnupXgK6+aRaiQi +7vpAlEsGkFm3kEMDkxM9LaI70SWrb+CBn6q7lPqxtZeUyVfzY74tcVJwA/HAb9S3qXfjOa6dkhP1 +5D4LsweXXwZK5jENy9kFjflt8cFD5oDutFyvOKpmkPaPfaN13rsQ4UyuOADJGnXdOfxmS+LWT34M +QAgxfSsV8xJgHHR8OxBqq6duRhoQgQ7Dwh2NeyOgyN5WQ5WY1sjjNksFt0HVWd+TmeeU8EsaaTCH +PL4bZ8yxg6PLdozkl8K8V5xlliJ3WkGo2IlzJ4/6gFCZLjGIRtem+crfPaVBGEf/ANAljgilsUkg +6ug+Es+XRvr8KgYq3llYpJ77BpXylusNMCeLDTvJHkpLuKMsst1nHWO5Lt5pKjt/vqrjQtTBqBaH +zW604orx5D+uVyYTBLj8qv5+lwIJi+fUCiYYFvzxvjqhLu9oRm69A4SCbOSD4JTeLuURELNjnm0/ +cFP3xcccEvMUd1t0Ey7+7y8oRbebvd0ltyWJY4GVyGqed2GrWP/1/6RjUZp/ohq53nVWk230VrUQ +6v/iDNDrqok5OKVejTa3QFJyL7U4xuKMhioVR8dDr/JhZhscMZ/Fz2BeCnOaq+G1CxEwb5JmHSH/ +5+3Y8ajQr9KDlqXvRvtsqMvXgEL0E8vgPXjeahpgmtBpcDCH7ueABTSGgUZvvIpIPPsv8ZbM+jx+ +s2FUW7e3vLoOk10JnZXilSLGnpGG617ZuEeSNFuhS58iFqUbgX/nw2Qvz9gOOao0rOJf3FDuEM2i +RjEzQq8UP/YgmLs534RFd8+nGd4AJPljRVPBpOTt+xm/7ss0tX4R+QdCE8gRJ8DlNvO/ICSya6o4 +EO6LLzPkr0bCh0YFKdRwXsVPatpB7aC9YPmr1BA6JDAT6DPQ0cpWvp3khnL1ywDa26/GCVgyOcBW +kO2yp+Su1akZNK3CD4t0w0RYbgpwjmS0ACiwKAYeJhs66rah0xxdLm81Az5o5PeGDwIDc4LausAd +o6qcBVP1T22cOBbAvpG2n2bnPM7wYphjbnIIohIwVqUZ1De4MjTIPrhlCveAF03PBUrS4aBnSUij +cf9OqdS8vA30w6ZawQzDleLfPFcROUz8TJUnpgx7lK+bMCDtNBuQqkLNC/TyYa3riEAqUZSyfje5 +g2NBHTIJj8fxIKAfX5KIWRBM/9Xmm+2LJz4S2Pkv4zfE8kfBKaYMZW3WG6oZ9CMqznmtJpj+Z1vx +FXo2B3EJksJxCI+dL+CMzM0Z+s4VaLu60zJTnJzsG77nXPzn+dHcSShJ4Fckf2jl+cYiWIRloccR +Xl0sCEcDFNYhPS5rM2t7VtktPteMHGanjk1qNU/dYciejUvrwioOZdp43fw8nBS44qPDULYeifla +GyBE4g/DTiCICddypETgMoiNj6Hz1mBQ2PbAszVtVGCD/2fgTE5zGx5pbDo4Vd2NRPyiUnshu6OL +EPLO8bQXi2gVcfVdHLBmJK7D6ikgvDQuB13ZdAgv+N++2CNd8f1Ex5nFW4MJiQ3wj112x8heNbzF +4ThAVPm0a7Zrnoty6oWBXDrKf42svKFb/zwxG3i+1ix124JPDzKLNQcAeXLihgPZcXg3BuLg022t +RercLTZiZ3ezE5InNT4qonOmLgORL8WZVXRpweFFzhnSu5VEqY4RySMI1ad+Z43RaYxvT6ZiykSB +FU2Sqp8FBJbf9con9NmHMvLBJ4JB/Htgiq6LHIyMSuRoR+4KK29J/EnVWcUt2tgHgOzVRnMO2Geh +RxhdMbF3HbjD9QNKjYyOEQvB6ixL9vrv3Hw2KBz8nv5lBGq5f7ZwgxlaVvCWD706TeIdMl8q6GCr +MAAte/p5Eg0FLwhgNNla2dMHMjS5qtNs4H6SgHo+6peT+jmx3u/3Ib2+qbkaV+H3F63Vv/7eXqOH +vEu2AnPFGQ+dOgauxUlKiVVp3HPYSWyRocXicAuk555hYOT3gqMKeyavrCxJhY9kmJjwbjPsvPn0 +Yw24JgdQGRKC2kHVhgSJukiecRHugIiPRW8fgRPSO28ktdt+Uk+eB74k+ibWAQmsBDb2uA1wPUhM +X14b7bTQ0iLA+/j/MZJ6UG0bDHhKPR9oOY1TUJAg3t7lYa6jV8fVJ25Ut7cUR+e7EIZAvkfHvlxw +T/siQikO131ZcveYBk80WW8m3lEnC4sGhEaM61Gs9l8EnMOo8rUo8I8fqyTFO5QhRAZryvSAYh27 +LWiE+QVYUdLuvgpQq2VLTWqQAHDgUrnXZ1MyxYy5EHVE/zgt3pF9hyQuwIPsIn9wFtj2l1749ZuF +KOpPNESp7idj2+Fpm0JKHJ6sUOt4PZKHtko6jvHMC/ked7+jegrFNJuUjW0xXjKel9eRjqEpSXao +6vYynEPGMsuvsgdon4q6dLAN2/JjpHV82doIv1X7XvWp325idHlMuBsD6xELVPfOnThbwry7Ya+X +TJ1toBTM8jFpbm8oOgqcmEcljo67use/krDczLaakQ2OcWoojF3J8XUi995R0I8nBismjLSXxqht +zx7sBpmZup6Z/KbQxn28vQ9O5sRCTFVB+doIoBx6svJ9VxCAxeHWOI+OvQvv3JSTviQwWVukk9DZ +dxV01/tFLemSbx/yvc155YxqpIHAbrafXarMMSeaFQNOYwnXCeZSlUl9R9TKCIKboexEOW/mYAg6 +bNDSNqHeDxVsTHjgpAI8P/4LS7hqxr9WEjL3nj6vvMTgikOHUXynsqUjdtYQx9d0Ga7kbO60WbXS +rYfcMSCrlkylqP3lfKTfs5vGRKkI8PlWC6e9lzD7KuS9WQ1TFIci6cwznzT/VjMc9mYozSpy7P9C +puFw32sFCTkpYa6ShWonbjpFRw9N3ScpitvOlo9GWvcNPMCPn7lJnnRA5zYgFAgWxWDanSmLM/jJ +bDK0hkAm+91F3WjVJWdJmbwVh/LUNLgz2w8Cc1lri93K/ZbE0LCt1SHa5/cAtLWc5/pWj5davIEl +lLQo/3Mg2l6aXkS0HXWbKNqAb+3bygHCU2Z0t+vpxQg16riFsls4iaFqh5rwvMCrn46L9BLF9cZB +39SkNHGU7CjqxnNr7JOGyRYEF3kmxkd7ckezlqv99ERQ9wvU/tOpHgACq7IquOQGm3oCCo8UhyF8 +p6vz5Yip0cFhYsQhQuhCYaqH+BAEFEJJ6DKijQpYUOwPSw/2eggRdexyQjHMKYUyzyV5CTrp6bIc +nXHei3eR6CFTwD/yzbnUIjHMx69vcet2VsfHhvLoH9/jsKXoGi89LbekiI78kn7RNapHQo8ZUsnq +Zh94OKpLsnBzKENNWT2Ev0jxHD2WMrMhBp0s6BdL8wnLNqLiuTTIqfs6NZdHbaLCKZPttvCzG0M6 +C8XEGz5gimTvWXCLCkT5wB18CnPVHTwlFLquG+melQ+WdpPUQG3t76jp+ua3BDN0etfdVrxq1OuE +ukhpAsznqRGIPxGh53TSKpwSPfnXnWdTjbrRckK0WBl+f9qwmFgXZ7JQguBacY3CDoxavVSFxaqA +CoQBda0eaYEysPf3+8jar4hqAn6LGUm9UcM2aFNAZZIRbGG3ecbXCtEtJzqYgRwfDgRgrqyDX7BC +Wh/aP6rQ21+9OVXcvbmrPF7uEKF2M76ZH6hIteKZkEaKrEg7BWvdwIWiFGkgDGuvd4nC0RuyuDfs +z/2PsoehCFcxpUtwSf84oGWefgT6XAifGtbzMGbf9t00bIwNZi61t6O6vrzE+536KWNZ5eJBynfE +xNeqIcSi8W3IlLEwPhodApgEbXnnf2LWSTUApI1y8eFZxYkcPvM3XZ3XQ+z37n0DkXlk9B6RzMpe +qRz2aiToY/667RkKEbNcsLob47nETIJGK3GHnltMzdoY07YgzXL8IGLvq7lNejKTcqEFyJ8ZzYja +6+lZO8N1lE4TqI+ZAMNW6oqTfCqi71LsczCOSjXEiWKbZjTs/JPPENDpAN0NYbBHDlehy8fG0Nxb +yH2dH/Hb3RokcJl6Vxqycw9cc8RnJi6pZmlHxULYpZqEnG+jD6fhnekvB9EFbxcRAzcDiYH+unsJ +upgRE6na7X0s8pwzrCgMs2XFf5MqGgzQP9rwRLowTDp9a82+NqCzHKLQVQAWj4qnLze9ILDT5mNl +shpXIoxuajrMa9dLJaILoojs2vof26f+pAcaQZmjRVTWRPMeDmgIm2N4kID2ZDEi3Apu5T9Hkg0t +APEWU49nQOJ78cADE66Kk8GXL1tZsocmklqE+zlZ8h9xlW9g05avZh8zr5rBSSFX3gxMVNy2mu9+ +0coa9XjNfRVcL9ROPNtZzF6iYpBoSfJ7x7L/77okaeZlreCU7OJNfLAFvtH0Rmgnjw11B+UC3vbB +ptOHgK4mdHQdDqEkmX6rgGi6jM0QlnXJn770q6fUNYYfHffJyxjWpSdLrfgQ4hGvbHP3+mfPuE1J +RRoou4wTVYaA2V1jPIQDMlCMCNJkLqSQMCXoc2NAaXQUZNPe46CrVyH1u51pIPb56g2JntWortQQ +OSOVUpKNkluOuBXDXdKvBc4odI6xx20MuVOcomSnvgb7Dz7hxwG6R64bn/6VBUKQaZFDWQi6hDR6 +tJEU0DtVo4Wy8khtyBnM8xZrWY2EluwkBGKKIdR3o4iPctFfbCQRfp3JxbJSMIQgWjbIo2gxl2uY +9EFmJ3/IyLEVk0GxOKEbvkRnYrfcgnKmk5pvVX1ozNn3Jo7zCZ1KYSmyU0Wd8NuJnjt/jqH9UCPd +85ObDQl2K3E6mQLgCDf2/fT4oib6O4y5g0UNQCfpjACpm6cb/Q+CUnVc/uF7uql1qN1ejBFA6VSd +9fWYan1VqizdbzSrBRMyf/o3aQ/7XTjV6NyMs9t86lYNDSzmp3gT15nx/K9eBePZtd1Yu3aRldS6 +HGxbmu9A4UKOsQwChMqrh2e6t7bjLjQ0TEQVYUJUw/k8fCefXAX4QnnFzyXRC/YsSVjqgHvGq3vv +P9d5UTly12FwF2aXk2H8+Q4lNH9sx6E7pxP7TbcyR112D1HOGx8aoJxViJKhJE1XuRAq5AOEtdiT +vY+HNtheWxq9n3cbxzRJmQLeyIQ/Irb/whHY/A8gGNQ0oNyPV9GwSVtWyIzg0nNCc2+g8cFAxcdc +9VkVAH+beMQFFHdkAZiBmiApk1iHDEoyOkMIBsyoLszFkDViPySl2tWH0jA+uCOhNASqsHQTX13B +eao/Z0z3ZEVOQ7lk36+wxj7H8cP6dEr6aej5xJ9uCEoKeySceJCwYfgSQKGTMy4G6wAVebfbCCCj +2pYVfkqoqZH632BkMpRu+2yksc3u0eO9vVW8RgkJPe4WG1EzWGOI2Vq8RnQ7vYxAbqU5tCZUTuRK +4BuC4Hch3R9k1Zmt+0rdWu2OFI92vee24F4C9geXEPkjCnyIXzhp+RqxAkJ5/cmINKPHdStBrAwh +1GUavWKDY74xWq3ehqAvxm1brh3J84/rUHtZK/A+6+HiU5hA4+g0vT3UP2Blv5SvgODoQpq5/voS +2FWfLm2uz7UxKn9hvTCKnf3T08TluIUrEcg84CGlLUcGwn8qB+kH/BJDDFvbjj601/IEPnowruS0 +sueNKsRMsLmlOEP36W3IuIC3awOqkGD9MnlzZ37z8r3dO0yDzp1aV6qKRS1lbHh1w9OdNe5b6nAE +iW5Zc06JfbwC92lviVPfcaLKYOMYRyxXO+xfDBxaAfKdR+ZiaUedFlfvLoLGYcrDH+GQ+68OZ8Us +mrWy/3HI+TcqvwJGUJ274QvViBOHbLyR1tjV5bas27mv1/V/VHBeBUiADdvsVrh6ZU/ifur7xmCa +BLJKNO1vDGn0/ayWBgEQA2JWLOFrfzf+D/Bm1f2avg3rt8XLqxbT+Ei4ZJinNp+JUHjZ8ylijXz7 +1KHsDxYAaRqGd+p3unhAAX+Vp6eAAJCEmYafTbHORDBX30zxOXs6K3mw2US7Ieyq+WcFdbAXLEcZ +N0bqniI2M+X6euhm9gCdzqGHnBZ53gQkKZWJMesttznxpN++Mcig964AnfNc5rwIHwF5pZ6u9Ul7 +ErVn2p4b9IgyZuV4zZq2VFBk+imESXcg/Q/pT6hoAT+Cn/WzrE+pgFi3OWHZjw+tYMayjiIWYD73 +VQ4B1w0AFjxs3BeTECzgdHg87s7aYmyJ+Ji5i6Jwr+nNdujjIpbk76d84PH1G63VKPttV+eX9sQc +bp/7OkJGG37EwAU+bD+Cnr+N+5HQNAV+lwbs+aZNYpgtZ+JD5Wf/6Xaq+tcPTsXX1uya1s+Pi6+F +WcB/oJV5a3GwXJ8NhrFH+t23fhnzngApkijFfGQwyWBBL6e4ViiYYJRz0ZE5Si0N3Cl7RqOqPPZr ++hjmPuZXhB8npauZwRoeZ2ALo02msOU5M5EYS0j8MoITpdtWTi4Xfc5i/Mb9XRm6aiJ7kTz1Ph7d +xtwhz2UAhr7i4f0npAzDI/IhCmgnoYrTE37OAC/sB9HDdmEYLUVxDGkjgaYiebTq7PbxZiGbdjck +/MZ7ZapBS5Tf+++JTRrT3s/RPHFKqbS4vc7GVC7/+j72JTRM4doAWLde9g8koBRDr5Gzo7FQvUSu +FLitkJBqC5lG73W1ABfmXYAHlbauFbTihyJsFASBVBJOtf03BsknwcmfLoX23f7uCnz02lawrLMH +Lkg8urJYAZ75CZMrrhn46DRQmZNaXNu82IwgtGSqkUyXsAVjg1kW5biLu0RwGzfwxIQof0ZShgwZ +bZWVosBd2nU/UKUcq430u2q5uo5aID2EVOwy+rWYa8uDIIHo/jyZbyJEJ81FO9pIuRwSwpLUh6kf +8ELj9UoAzZWJuinUPrLDmdltvMgqJ5lZIbRswA/6WYHO6opi+nQ3lKuL8t0UZ4MUle5G7szQQ3Ng +Cx92Rl8fsLrGgbUP7e0CCTGFJlv7zAMWBEwMuetIv9NiayPHIv+wL2VAonSUPlqtUbrA5LE4kEOC +B2lhq1/QfrrnFv1RaPpoLIEhsNiF7TYr9KoQ/ClK7H5CJHiBrR5cO2r3tiD+/d5BYoRoBcMFB9LE +aPWkZHBpY5NY8FJhiHAKmmj0IOi+pi6H0LBjcGvzWLGtciRxhGPPpNMEnqjlyqW1fQYYBRF62Fc9 +eetJPJBkxg44FI0nZa/fC0iNt8uKL2S8IW7BLNYAKPgVAbTsPR8vZ7BofxQMiPLVxmfQCHgTOTG/ +iVP2g0kBoolWndVTCAw2FKTUmdQkyTlvCZ48gXtgnVfB5HfTcJfDzvGCRA1fpHzcRdqX7uw3yCLk +dZyo/uLzwhc8Oln/rh6bZ0JOoxnmMgTzwIV2YSPKnopAIl67EPxxIcm3tGVPB2qqm9V2rbhTgk3H +aAfuXrpQxjJbX8OaI1nuiJm9NNFJUVwS0dvu0lRyFh7vTLQXfAMa6wuRN0QE/e3y1mliVeuGbL6E +Vwf9VsnM0s2suqNkvD999Q+Ro8Hfe+641Y1E73ym5K7d11kBSmoVREqAgW1UnsGwlHEtOG2lPZLG +7fv6A7IRWVeI/KyQ1mXEnKsZr3VMOWhoGPrUOpJGMyLVdXvHGx71yV+wR3dwdlqR/KP/QWjzOCLq +ZPtYSat5cQEiWjxoBBtjwqsOa7TzNHaiM+nlz0t3qNrAHlRjdZaiLqu4x7gljCey3tppSFY6feEj +ZeJ06sLQPa11YgUVKpqd+rZAoewytkUA99iucnz1nOmymXTJeNW78xNn/1rOKTDtsd1Ad/ymGCch +3k/Hl//HOePk/MyMcShkIGVcROkChPLslovk7O5ZJN5n0ROTbm9/mVd9l8PPOMHrnFbqawwl4bxx +IETDHxssl08lbmb0zZ9Qz+lkEHpXWePg/EoNiXhVkU5Tj2UEzjZ3V344OSGGkCNWyepwK1x02SuY +wFbb9YJCqjBrEDpHvxo1kEC1tP76/hslIAU7+dq0qi4N0jwZzPNSsVRQzUBSku+3FI6kVYdWiiTE +OdiWj9LU8a3/ZJ4IF+IjwtUmKs0o2SyMAeKQ15YfGy4TxZThHw4jOgn78HoLMsbVloPeVzchsiiB +d5ZkPyNz79hXGiDzi2pxvl7LtbF68xrjsZrjg6dMFR+jKiUw/874EM29uENUWnXR3nrJpz+KVO83 +7aJh+/cprwW8dleMlyhI67hJPZGmaAmjnQVTMmcXFPjuh7JLAQl9t+tMEjKjtUYHeDOHubBCrWWD +MMAKp6kYbfphoP1GTjFhKq0fZEK4jCUi3Vb5jiQEBO9vz7eJdAn1Abg5DOXQv37Xt88VGJV0GSD2 +uI/T60vlZoDV9ofBdqX+zoO+1hKvaZcn4lu3Ld1/xdTYMHYU+yopIl3C62mcF9Oa8+t3iVUx4L+2 +IJyFGyn5Q3bKWoaidTPdfZ2eH9XiEEexRVlRV5siQ/CIz+6GLHHpe0J+HuJmwqDdtW6z27yHO1KT +Df+uVaeXezJFmnEFSM9H6DYAu1AhObB6Go1uHByhGQ78i6M/KrFiFP2yV2vOY03jjGQVX7kfuTgs +Ns/bC0k8k6SfjniDU3o1Y2O80ZSffmBk7xl5F9TpcRckEK140E9PRRqY1vTWSVHoJajRrQ1zxRil +LzGmXTG+FZNN8hQ4W3UnuJM808J1Ilb+J40bq/4TRuUidyhAQbiAy53nbBedj+91VqpxqCyGvE3N +pHnTt3VTSQAoA1S7HQ3Z62N+lPn7oD5XkTrpxZSh2/fS4/QQ81cgQKykFT6Szm4LMwuoJlrSE+eu +Ap7sKmpcynerfHz9B3aKv8pGM6TPos3YzqspWDq+2FOr9LEgRcBeVmWTazofkX3xlPnUT8UsWHmQ +OVG3JyxOgRcuGf+zoe4Mq3gIz+Nqgn7nW8Oq00HQxSYOhFPw9NVqG/GL2uGmbRipHgDc2nxJLjX9 +Frp/yZdijEV0WTQz4wpXtFpQ40p46rFbqsSw96SmvCk6oaHGsC4fSVACY/bP71GFt0cPJ4ILO9vJ +qXhx7ZbrV3T6hA7Im0SCCyEqJJ37CN/5YKfs2ZOw6dU1Q7DqCd8OQIHgadeg+2hPnJxk4IuDtnVw +TijrLp6KyDvKD8JdcKgQ8lj+HauOIpainnl/wMl7slJnZl3EOTCucL30DBXe14Sti2fsdxfUP9se +dgQctxGlNNVXpAnXPs9I1X8I9H+Rm6CNvT79aCxZRC20aQgOcCY+E2Dz2LH3HnyE0qmdWLt11lSU +LrtedmUfYFbRq7rmUcQJRpsgHJZ/ltm7o6r5nJgVSmPB4VbD+7bDt6MxbF9vX5HSXepXmHMxmc4k +2NCdksufRMc+C5O3Hb7Yx+yo1zMenrS1CLmqTSYHxMcGGAiHn1jcTtvx0ssvnPDFSD9369Gd6/jQ +zfXlPtFHUqeNenYMKk3VfDO0byqHYvJ8LdZjb6DD6v4UA1dQugQ2pmuMHzeFLy0KmkytsKtrBnVU +481FsKWBPjRFzRk2+BvxoDINthD6xJ2Wt7Dz2mMn3pUBZH72YgkIXURhrp5updR2NcBs96Fgzluk +BZu+ZA1jIx3Xuxa+jKx96JFimxiFvDcdR9pzXq4O6eUAuitqqvTXfCoHY8TTaEm1wcyck6lgaUdX +7fMfZlJ0lzq+1HzEtN3O3P2usfpRk9JTbDHO3jZQhsIAO3QWnyK3cfwBN/vhlLpS3cwrguK7b6FA +AzgIPr8B+DqZjfYBhXpkZbOI2uawh7dUr6C17k1yfl2RYrnWtxgfukF8ZZgVX4jhweD4evMMtO6h +tWOU0f951RtKr1ULT/wqJxGTVV0uoZ+4Q9nAxDwN3wYHN5dpjNn3H/KVN3YfZcjj/kGj3myUEL3n +W5eEs9pbcHzsnCZovFDbTFpc00aRXtAU80PT/iGEidzkCKS0aEi8uYPKdg80+psDwTZC0+tzC/+K +ivlkZ3JIGJbmHse3n9x0oob+jzVmrBvjnE7gig6qNWeVlA4bplKOGnfCzEo9jS8p6wGZX3NYID6/ +q1Gxuw5r0GxWNzuOny1+IZHVE+tJT+dhnW3ffLDqovDwY/xvJ9NKz0Z+dnlLboWjE+Uc+NSAadVb +lwFPnu7tmmjWGueJBmOlHS4tEPrLrAvafkr9RHM4iIgN9CMI6uVEL/6oC6PE2lmSYR4iE9A4qXly +SIsI3GCNFFyDrXP/p/hJueEYp/9472RyYSKhOhRnvIY2I7gvFCYaJj0+MMpE9lX3fKK4OSL/U6k2 +549DYFv3gS3af1D+W1keeMaomGkV+l6hP0VTPTwbkV3xWro9IREqSnsCrhroj7isgn5KCj/yd1EM +Zn8MfXCZDAbLuwBuJK9nk/MlkhQmPdUSu8jY5ER4smZVy3As8O0jpbNKTgn9JukoX3ywP4waX1v/ +BEYOD9eHhaFn9Uk4y8a5w1vVuIJSC+UMSAIBE7gOnwFlTEsW5QBDSNQ4l7jN4owzIqLtuL9fiNOr +Y97YLdsh9Kuq5eftvlaJFEDhQB5B3hX6U4cyAGSTETRUEeAU9M5vI+BxqTcPt+nkXt0yjafLBsRL +eWmAQ2M4c1GCx6EOrqLX4Xt/JfJR5N8UFe9Y4mA8nSTdi5qSoCMX/u2qfpeFzhQSKVT1tp00liyu +RXNnCeW20EljADR8jDTSYEoA2oJJbnSkU1Seiz+Uyp4Y3D5O6Xdymqc3ItekuUNdSDZQIqaofS9h +U4bWeB3GFQXJceItAc5idWT5gJyQzRxpr2sE3Zv4GNRSOjUNn4LkywJPCmHMhxSMfI7uoy4P7WOP +1btc5XddOia8+5HVvrgR8jgErhuIvGR+iL5bGFLEx2bp1lxvUdMlDn7evRa4Sjx9UL6pmiScGJMr +9avgI7E3WucVd0rtwOurqDSBhwLiLcSh7gPy77ZRXRWl4J+EhNSjrJL9xJBUiAcioXopPfevaPrY +E9KF3nujf2/kiMZ73gzNG1sueiKNCgNRkdk7wdHFNBi4BTIiQe/tXT69Lm+9kdvH8MQAY7vWTjLY +KzTgIfqYq0TJbdE0ZAA+l25aC1Cqv/dtzpWZs13YUczOQjx1kiZ44AM380Oo0eHoC2xUeYSzCo/S +KjBSIhh47bEqwsz3yvGW5zb/AK121ndkXACnaCBvtYdQNey90IexXY1e2bjOIlrVUIeTD4aZw6qO +5zrjZCdu/2p38C1YPlZ4iOf7oHOHAFqtdSoZvnytfi5o/GFJFjwNPcTtrwDRYRLzY7dFuOGZNH2g +D8jbDgryq0IH7ZKqmTIYcmtBuWZ3vxoUAXnDPzasGh91UT1m2pjIltSHfDXM9eEt31HuwKrZ+itG +aDTAsL+kXkYGqzp5ioSIYvwLszJMLt9b6XOR8oVV89kME6t25NE33VfzfJFFRXHIDIqPYcI/YDH/ +Nnt/6Hi5eDCJNn6zH7OuPF/UKcB7ynNEUbBllEVZKkgvyn8XWtD2jEFCNXg2mZ6ktOjPT9Rn0S7l +b52EdlBXqK3i0YcwSSy/iE9V3HYnYkK35VhoOFJxRMXxqtO2F24IAulyZvGTmNeR0WLMIciRlhds +R6vPHZJMvXD83S/OlkKpcwp+R6jLLX3w0R0y/pvvoVyTRbl4sTkg3SgmBd5iwWWJpwKhtBHnACUi +J9szTbmG6MgpfmBEuUI70JoQ3vSxNbK8h52yO3vpZUBCjMyuf3YZr4p2jaZNCJ6EyCKDsoXID3Fw +LCU/+Gil+I0+7FYDwmQ+rdnG4MjvVuE/6GCvgYefSlSC+9aRaDwIBt6TXgUCfXJC6xcfiR8W6r6+ +5//E8yLw7tS+FtnSQsKj+49pNvXSb09IFRwc5Ln+itOqNrDnxyfMaOB3FSYv3EcxIMO5GSCWVhaE +9y+ldCqhLE49WoJq/gXpDksTQl0ZKjvo61sBn9RtjPsEey4dVuyG+PLEL7etrGGFoFRpezxgzTaZ +JrHgs6ZWXATl42EYvw/Pey+lsLSolD89XjmpFvcefjHN1hPLYAnzEO4PQLRM1LDe12hl4evU5tcK +KtVpJDxzSEkAVLP4xhWvbbn3lgBL92IXG8aOfU6Lv0e9BEJZvCJbkI20RbRTxTLMY/yjJlAqds1b +2xnf3Li70mV6R78QYZ5ok8aHxvrtI+um8moHywF6O2yWoYVNij0xS0/9ta0FW6DF4wgds6Ok7bTz +nFW+nCGkvWXzl/165h4GbJV9Jz/O0cA5B0LmFZVfIZQsilAOCq0EWKNJ/6eltr3Y1/E8vTUykcD/ +nT6qtJVM8ljycEdCAM7isEjfYYoByiBi/Zdpm35CnIz/n6P31li9VRqLsWiFJ99UJGEYxzX2DH2E +T3V4VlsnId1LH146UqBa8ePdz6BTi2V3wXjiYgHe1zlT4xICc13Q3U2KvSl+bmHyIJ7ssdHSiR8h +puOZjQOf8TQiSxD5T3VksD+VqQWzUawWcwtGWAddZj4IJ5qx41Uh/waDOSOiT43clNdA6bss8v0v +fYTZ76mQKw2QnfOvaOwuT4PM04Q9aOSeorKVB8xlifnlrdyEiGTJLFTUWjPKkGYyl4isy4BQNk6z +upLH7EpP7TaQFnr84M3MdogZ2USMIK0Aog2NZanDbHiEQJawCbQzMP5Qxk4DQ04/nf4Ogvko7fcG +rRlqA+x0tvaDj7T4Q/zWAtJu7jMePqIX7D3oq8Ve7vLVDaDIlaHbTnNsZ9nicAs96O62RScxzEdj +kMOjjwwD8RPF1Kd1A4iEvk8xPN6lTvHxkZUvb3Gj7Yn680yaxIH6CEeUkWZlt2VuQvG7SEjBBBS6 +mHFu4fqeWCcWwfX5HwVCPHzMTCkP7IxxLtPHeO2yBHefzTioiQrMSw4hNiNIQ16VBVf7ga/yN3Z1 +tjEmr0S5pjgLS7fABxdYbtxN97ihI0AnLH6jUtgoHQwa/Wbzmt1DuDWk7w6xRXwFd591kCXK6QiU +0dVYbYi13jeJLKopcljTRasDW4aYTFB5rUGcU2VvHLiImA6cuBwGDPuQsNEYJAZlvjBKjqIeGBDd +Q9bKSw4odpB9yeLZ6mqxKW8hiFAGAQ6FUYhur5hSB6fG1FC79CnxnLW45Y2o2J2Opg+Y7J2kzIJq +HO9yDMCc3P6+PA9g/ovmnkWx6toP8EvRdWg3FOjZLr69X905BbMIRRybiLQEpGCKffEJ7ymUjUtf +JW+0G9qdWm6CVz/1iJnhoMczoWdCehVexERneAbFyVBjV5ncKm7gfizCS/d/E0MBj6KX0+K4W/E4 +fKElVzbLUjhOcYL1RJ2HMS+msZ1DE5Ewi5C2rrY+qwNM+QUyjAeFzfFl9i8SDEA35NnUtisr1Les +EiWziFAzkdicKyTh2MZxCCyodeTxz3YPLk60LNi53PV5uRGh+mgk3IKql8orAYu7GofyZmAiA+Kn +uwWIA1pgPQQCPMc4RkiTJKuGJXBKC47Lw1AlAbRNKJBmJTFxyYZHZ3i3M6O7G5pCBFX9EuiLqyJz +DkLxTIlLvkgxLrGX7xGHwad1EpVm7npBq8OVqMQw0VA8pU3OJvcCz9nfTeCnO7WXM+2d3yW1FyK6 +3H4Wz4AtF0S9rOkLvhKgCZXjBXQH3RF+qCRb1BAcn7p6zhMOLGEdQyd/8LJp+nvd7u4hAYemlf2x +rmBx6hCNN/kVpEk1g0wDNo6DMJSD6dX7al3U3JLO83x8vMoaqX404fVU0RHOTbfOSSDjPVqYT16H +41fkPEChGqGj/4H14xIt2xILVs2dfnaYSlva0I38+7mvZug9eV43ecGqOGjW313Ya2ViuXwQM5ZX +mkp9hMKuHMO4rkA+GmIyyMb3+gtkT5tnpcLrnslUN1gu1qOY1Ti+W6QRTwx4YSwzx6A/mBRtfj+K +Su3LAReY0iDE9tA+920qlTpX7RD5WrbeT2k0Shzk0leuzttqdtrOJYP8F1JHEvwvnanDTwc3y2Wx +1GTHyBK2npjF3ErVG08HTBad/SiRHxqPvcuumcDZc/TdCccspTSShuz0wSywhO8azMc/cuwvfHV+ +E+SLRiDdvAmfFwRXAGSByQe9m6+tGbHELAwJ4COvppMT+adgB1NLtYBHf1+XLqtUnl7KA4z5rnZv +2yN78aecpwmm960+SJztG9dgzcakawBWfSHTCL7+38SmY6EpptnMRYZ8G7CdkzgWJyzielOICI8X +reKTJ/WMqu71nlfzW2bQYHb7HAi1x06mV5hRGncPmU5rS+6SiG05YyQG5O9eRnISMt0FeyZPjpDa +Lg36Wor/WD1yxIXfSuNffEbNjI4EtF+rUrTjrcaIXmo8lkU7NJ5yX/2e6Mo36X5qwpGMuxaJbeX0 +Juxlq9my0MItsNc+FBtu/V6j4JIo2IQN6SE4v+rMggzqNcQnAIKpdv8rrIKCVQ+N4CVAsdccv9En +lArsBciD/0DISYJSUTRyJZlDGYUMSMzE21YNNK673gHcB+SiZU1sHtMyUs8CvIG0aoqMMCX0Afiq +lLQWG2FXnFiIQno5OEXLF5gqVQCJAQjgY9snTaF+8YnFxZ/J1kG46RzgMkgEGQrL8hEmkkDDyHfE +v16mqHYCXenDxByoy5jgDPy5UyNon1GEsRBWFM+KDnfBOFDm+LY2nmsEIRPN4bLK980H2uD6vED5 +JHaV3hKzEognRZi3p8lO83RoPQYbLtJ7+wf5/+GnCzlfMtXlAgldHbZcnmfamn3bsFnPI3QYtUGW +QZt00IuOblcyDS/2awTF4EnldEeopqDPbVpU4Js+AbeopUPV/f/93ky5bnNVJJnYglFvCKZ5aD8k +p4zzT+zoYUpgnhGW8uhA2IIDCkyg/1z92W71V/DPyNLupgIxudW+t/LReGMLKXbA2emWKSZRX8gv +uWuFSY30FbMIbn2qjlkaz/p8KfM6ZAi9KyMlLUuem1aXJ/EE+iMkYY4qS/nr6/mMRs3qZOXfZsdL +3MrSNn1Y4byxS8SIDJDCi2oQvTw76Q2uqGLIbQWlaAgUZRZh2rUT2VjtA9y2+lxBgh5vVVjauMvt +wkXrUZtmILyZD/XeemPNmJIoL1poN2tu7sFeWyge1Q6T7mjVjQKCgGEyX7WCC7d/SJgXh7atUJyJ +0pEkgi957GRmdsS2Vaw9jsshQtIS67OAO+dGsEJnkAEJwQk4nRSadZDJWsUVE9tohkT9WDnYtE0Y +7ExKYXDSO0Dx+3cxznQ6TSCXqgPhG+TnJK7MXpSlDnuwFMQ4qweh1yvc5TK+2WlJzCwWmhl15IPJ +jvoAu/9pK/iiZZMfdDN2/I04qv67d6/5jrz21DxWQo4ZolhCPfVgLWe/QPc0ga+yVj9KiyamLp8Y +v9mNO7LLT3oBVYR4lYvHgmTzMi29pGN1MKcwS4MW54Xr7PW9ecJlVBkETpBX53IUMN3naDdgl8+Z +ywSk4iRpoSmZXadR/uuL51+1UMfhdsvPEYOQbPdqE+t9ZI2i8CyZAUL8nld4TacFuVcs+5a5/9AF +JWUqHrlzZz0tommRG+xL5R9Idi+spBLqF54lH7sjNokU+8dsjohtvNJe5ziU8x1vUyfNqIJxxpnN +c1yJ/U7Z57RQiQ9Sdv4AiXxbK3uQ/i7hyb/x7Ak4IhIXioQSp5PoZjH9Xu1g+IEDSbI7ZAL5s8v3 +cublSXeY6RBBH2T6qQwC7xd2LBJXxW1i9BVelIbmd9ghVdo0lI+JJEbkV8U2ZGSR6nn09KJEJJEw +13Eu6zT3Jy3NR9oUercYz+e2fzNtLeaH7aJoEEQw/RJJmshtUBn1v0ZBxhHGNYrqyBTxX2wbr2VY +GIT8vlcOPX7xsQ5RBqVq0vjHYf/G5XSCWRcrOfnkrGqRqu4CYuf7oNX/Jz/Z9zxKJ0HN0KOlkavE +YH8zbEnDO5o/5k1g3TrGuDRn+UJjrFzNYqhsK+Z/AXQopAbmxOwnT7AVGFQTdTgbGXzj9tN16xcO +6cibUfQKIk8W55EcYov5Rk0YnXKxvQ1jGSu/ui/oNSXFjYzg+rht5YwERmCMKdQjMuxx8Fal0clw +89jpgO3KIsyTYnbZNuaMcwIKZXbg4E6X4dqFy5VtoLYT2ng/ssr/IyD8zGDP1bthTub99iJzuW7o +j4J2RiAF8XNmU7NPsu8CZpCDu3nAe+U4PFZ7hdKfLATI9k51GFZcBoOXeEupSTzguX/0kQmY4mIu +j9vkz4INtmfQzEIbBwDuUWwCbLw7SLTaC4Yuu0BJuHlrm73yDk32diTYDw8jJ4gDE1H9udau7x2M ++BTtM++/WmhUedRXfxtHllUoV/XbjY79WRHSkAIHZFsoZmP7Jzolvmt/IgIhM6EP0VY+bPA7mOF2 +2pXQS24/AIup1ufoU9n52S1b2D1vp0OlAbxefnR6IEYByKehOueBZboOUT8g4Gl7nlsNTQETIVVu +ezoD8z1ZbQ5tn80oLVFG0Kqy41Kt3l4LUDN3OzIPbG3vm4B14T4ePOTcRum4F6yhtQuahKhuoXcy +hxeRU4XZQqVUpx8iJeami7T9J8oSklO2seuwSh5ljkydbM2ISK++9yNFcCOCDSZxqtHHBxjvxslH +f1rNjwG+9yMboGs6ztqOrWIBuoIxoZplIVRgEh32ye6nyws84CcZK00nr8JZjg0+9Ietj6iQK6PA +3FMXAoT7ntEHxdyJbGpLlcK6nWf5j5zHOdqiXCv81eeMLdzzhj/tnoE+lNtRGaZKxcr3D/WEfgZt +MbMg0AyIsXeu5TP0tFWO9tBMgkPx9weXNLdy0eJuv8zVfDbh7B5icLvIiV1snQ17rbZ2kSzOchos +mv0Pjop2kuXFgLJRV3bptaA3TZoyU1l4bYsCaFaNOEByc6jgYcLCIzXE3AlrYwxl3fpt+kfb2GJW +xS7hEvn+RYdvblhRHawaW4LVOofbIMR5whaqXaLTaWgdRBqLLLh8ov7OtaQChHVrqL1vBNPfFdyb +YVFhYi8ofiU2M4jqvfS66Mcd7tvBEdIFdch0wQzxdRSHtw13UQJa2RZZ+9WO3ioebpjpHCs3UCer +DLC/ojR0uQURr1LxbcdTezLidnlx2sHWpojropGxsCgsjA0kzE7kwoNtYLrkma4yzted462ReBNP +Za5b+Tbmxmsz6uhMJ/GaHM5SV2G9/yp/s5aIEzELNrrnBCbb1ruipa64gfgoTy5g3iqBIRvGwXAz +VIVFvpC7ZA93e/d/EzKdLyBBHUXk/uSYA0pWWRuw1Rj96KoWdLvtyt8bt+n/0RNq9Kw2n8+ZjL8N +Fz8RqRoL64gjM4H1D+5GVTmmy17HDIzZVRo8znvLG9f3zpEjf4UL1WnpOzUlGTBv2v8j0m8wMSqw +EUb5ADyxBLmAS3Oe2DQdCPfzS8u+zl+vFaccxiHllTeLPdrYIKeb9rf/NC8bWqR/TZLep3Xv5B/Y +LpdYHp/PJrPZQBEaLcaFtKOP8g2e5wF+JiftPTMRe551vLV/qxzmeOc/vgT9y+xMctq5bBFCxa67 +z3x3yjvFjHtgBu/aw/KnDH45T+OEH3SngjzvlyF85zFtbq5/n1Qr2I4gFSpeLkQltdcYafD6qAzu +FeO6Xe5M0oGiKPQtT8qMfAbKcZCdlpbljdDMPHdzmBTTA1Q7Trimh9fwkK9KOrIcEZQdsm32uD7Q +V206vjQ3DHucNeNZRwttNj25eQSNsRlMWMLkm82DyZk7Feauk3/IxS5tsz+d/0fTcVBC3fHiYHwq +au3vtP4YqH3m5y6HBHZyJO86nQXIzcsfQJqS50+eJqp70VEDC3zRKoXYwFH62XdzSlm+5Z1onSeW +xncu4wulEpoaAgM7t1Map+sPqZ6jjV5rmlmD8MfjqWSEAFuGhX/nF5KDXOpwhBCu7dwEL2ZWXw4w +3/eW6R+qR2FtouhuT3sGlLonmfGqBvN2EBO8XanmHkh3KFIxmp/+JCl5WmBGCMj6GmkrFMuG5oDW +vcCiKTIN3AX40drV5hnqdRxqNtznCvFMMuLutn/87ZZXiDlVwX2Q9dDjXqUIb5URgHlm8sHCBEuA +S5EmONkCDYhzyEEnZvngU2eITbWujtTF+lVhQU+TENCg0MUAi/tvtYkH2wWluKJ/a8z1ZuaT1qvX +zFgMMXX8iXqesL4FwNyZGsPvnapG9UHs7uPPFheRR3Gs0NN1u8y8LkJnRdoy6LpiErzgHE+BL8IP +P++23jmfVF06tdlDE2mmpHn9tjxrFSeEA7z2WI4BfT80j4JBUQXIcs15iaMwYS8C6jvVesIXXFAY +ZgIMPz5LnkeO6MvuBUTZ7Ngo0TZFBKP9q9hvhquFJ2PmR/i2+ELQ/iIpi4ZD77PHtxpEhRSvArLL +qABr8FYzjUJTZDP3JtyZu2k3upX/wjPmJVgqPKT+v0c1jGfr/PQEdt5j+GYYkDlqCdMmfhO34yMO +zHw1Z2N2c0W2PQ76L/jVCf09CEOYRdw97imW3gbHZZgMnMVbiV96kJX+IOI25Tu8NHj92g2pNS9P +WHZaXnxGB1IVZ/Sqc1pWdyihQvPEgXSQS0ZeuB0VVwSraLZk3oxmAjDClBL3gOG4az+lIsBSVWV+ +2cyTwmeAX5uAtx1iQSWoE4PytSiDSfoJ7U6N3cbOrYdmv0BtQIVoTispcZg5TTe7Uzk9oIpO6CiN +NtqGIYPvswbZE4N6krMVZOCCMEcngFWnrM8NFPWy1Peq9j/+yCQQdPQt7ROgnUhd9HWGPNVboXaK +HtYEITb21IEitjOHH15oGduyctm3cYworrbV9q/ALoM77LQYzEezujmPf+XqQ5WGzKnB2U6TWIeD +ti0JGTCGT45jfDJ8xU/iFLt8LxuVsESXiamS4ydtqgFVqC09gGAKKzIXFexW8Sv5quocS0BDBzOJ +YZwR8sZIHjXF8t+z9eAx9TN9lECemzyXkfVhtZaxC3zv138Kg72/DtZNGl46mTE/mXBW/IuSYnCb +oYrcgjSwv4pHbKkWhcynczC/rxAvQtM3mwunAojf2Lp9Ko2rYF1U+4GM72zYnYXKKcP5UklOLHNd +0jrW7RWZ7eriun3ZVr43YwRCcNrgqX9rig86MlN46tHJ6DDgyeMLpAwIqAO2nN5ICFt6ltDwA3ja +T74X9dzWK9I80QYNiB33NpRtx2tTdjn2hhieSQDgkTUf+9eyatKZeXvTF3GIMYwonNDdZ1FItlMV +7O1I0gXwNAGE5nN7/MlOtarpIbr+nVy0uyfSmd2OAgdV5tOFawSQev9YU7mr9nPZ/DmNeId3tvTk +NdpH5sXKUfXaSg0ou305463W5pCB1INr9SyCYPLApBYrx9BL+sGnK/Qt2N3cHztisDFjaOvb0Zxd +IEM0IdSrMdgZ2wGqnXURRQb0VyywvKwcrPOj2Vze7/rhOEsnEz9iwOgiS2r+MWQSeQlr8m49nnKg ++z0IFPuEFRLNjecRHydTgF7IS3eJ4LDkXJpCTRlS3AGO1KTHn8wa+TVvN1IxkrpA0eDRuxvOcRHh +a6SIsDAQxiuniYVLdGrOTGUHsUBOqGMdSpqpM2iAHrU075BgrMf8IFH5NiDH5O8zhYxuzHmbIGhE +ROhDuN8KGtO1SCfM8fjNfwE2+ftNwoONi3fX9CClxv1EQJTEU68dVisL7eMa7i7jDqkO1Mz6LvjR +t+DzfQi6QbrxfQYJssNDQgAjkxBgvwFbCRGJoMwk21bNIz6f65lRCgsPI+DKcQZl9W58cTmhZV+X +vvWI7Ajv7ERgxLekx/7izi/qNcdciPhMLUF/yt3fSFaxyjAmnHrrOPMMeMU40RMTDw4uG2xTCElM +ViDYQf8weyIceRIhKoKOxGNqfdh4x8FlCUOQaSEMEQZ+3U5wRFtdKQHQVk8HUIQpxohqDu+BK8uX +TyLoCDujEvwazS1qzguQJbky/synhDtHqtheog1h5gCM+srFK5i0qxB1bGoBCtfpCUDrZjFAjfo9 +mJisoS7aI8LgKf1rvQxQoyeho+ABNmnaV0R0XcbDCiZZImeQ/I3jk3fn1/h8lvI3NL2wMUoGyLY3 +MTZCmGyShSF5BguE+0fZGKQG6+bkSbuX03SvWIHQgpG/CZ7ezVp7Zsjca5WeLy6gGq6+SGLz/KaO +clXcmqzdyRbCWaiGu7oqwjQONBw4B2HsXCUlVAoVvqVoi9Cmw2yKIFX20ItwBXmmy+n9qc4A0xdX +o8ZPjS245U5yx4yDXCae+b3fIYDG3FdjHWvGK3hykC2PbvOlCwP265oHvL574uYU+a3o/xqP+/dP +HaCT4DJjrIDFU+INoDFgpFF3xt16hlVkzhIkqmxS354vDTz9dx4Xiew9aCAX9lbTKBaNGu1nWXU5 +pWKxJVmx4C/4GODpsiFYvf9oEg+xqMQDc4oc1fTqVrQYQoF1QFJvUlwPBM3H9fFbH+F0IT+ue/VD +zbTJiBuXypqt4ZZ0uJaHVnDOSeVSFAWK5Uzr7OmgxVMxjh1DZto0vTBcng30aBsDdtRZJaY1h3AM +TlGLMXBJqzwjETBeHlUTIzOgJR9jEBo65xMH42n8ZkE0V6DtfwgexNeqvWM/HXc/DLIlCFleZMVf +u6VP05JhB/CHlC8t59Zs4PedArG4fuXRogIJmAUm7fPwsyy1tGbYU5RZgYM0wa0TnC5A69a60e1X +cP18LowYSM6hxFbboP9G9RQVsQYPKCPIfnU7gtFCWD6Fd7xhjXFlAmeCL09YzbOl6p+cN5T1hSJe +Qa1/j0YEcDrQAwKdduHzJR5Itcufp0LWK0+cV7PE9YuRh+zzQxDSeoJ/4eWRMrsCWLIXI39b6oIp ++6lfakIw2NTYLrlZ6Fcz2F8HhN9tNY1nZID19JuCUTLr0rOVGFpctXEamlDMWEVPA46/3Y3tIA9+ +qJ8pnZYU7Wsv9DcvphsfVT/ioy6KYQcRWV7kX+oCWpGyPyrO6IkQIWQgIgads6YgQMyNx3h04QTi +C868APcDRcZW1bvq+asNFI9HqRTdhg3ivrB6LFUEjQUlectYOMJKQgwwAje2VLm4ji5gzQqNk7HQ +ZGoRdH92aTQzFdS8XdlXIognYWeAvGFJ/te/zhyp/e1ZEDEiNyFDKOYVPH7pn9sG8Ddq3JRe869M +a5R10HcMzA59Jc3ARjEZDCkcsnPF4ouLCBLV6tjsiQ4rhGXFsjvAY7KirUU2TNB9N8zqk2KPX31P +q0QcY5nHixL6N5VAdSWnf3gm09/KLVopPhUqpAVf1IgkfSFqxiI96VPqZVtfPqfrxOpST/Umw2zu +WhZ9ayNyO6fD6bzsqjnqmYtR5MPQZ6Wn7mkHKlG+4LHgdnA5On+8VaPF6gnT2vUQwMrjwOvIrrKg +waYF+tM8e+IY3E1+I2uTQe8dbT52RvdtlUJzVqkRYv2AtrRdByI2CU11oj2dLWd+d3qvCMW823QD +FxtNmtVfIY/DzzlEic1tMELmvuYaQxB9flkVWxM7uuG1ISQ/zNq0bLY9Og2B5a693PKai92+TKrC +woQpziBcdUXVtoAVPMFUoZrM1yZG4i/iELOB7pdrsn+OMy2iCySqxyUc+huFkz/iNk3dg/QD/tDR +AfISe6TRPRfx6p3dtoyXrU2lbcvUOdU1dvlkmVPrEzW0YkL7+G/gsx8Ud/nT3P86UtyEWbsarlS8 +la2MpIIGGxVRF1wtsrKhNQlv3WrdWMNQ19RLKMBiO2dlsRkMhY5T7jJBMlZOOqFJm073KXCRJIhq +4rwIIAn14JIc032lNR0ZLrftHHjHfMUgSdxl4dP2vhdg/dtXNcrqojQCaZt9ur6EHaKWfT7E0dEA +fK2Dw9a+9hdOMiILXQ+hX19NM5+RQ6uEeYgRtaIiw8xkqnvvAJp478pD/JTaElt8XEvMdpPZaKOY +iArvx4HoRFXmppoX2LXKBAIUorn12BwjsbX2TYI12AuIxZ0QCWukRTPUF6u1ix9/bCwOfjspwhVv +rGyIHCWdP/Ee9+058x2YFbn6H8jfxxRKkzsnEjguDJXo1Oc4NKyGiDTelql1aO6U9P78KI2xPp4H +GJqxR2Mo7F3hkOzyAseV3rP1RDPXjbgKA1E3lCHQTiikCNEp8XBC1bI0SymyZo0OEQE3b6F9R99A +4R5roV1lXsVnEigboU7GGyJbJjumi37meaWUNp+TAtVbERKZr6y3Lt1UCaQ5e1NA23DxS4qzy2+p +2+/LpUjoRHkgo79emEcVrWo3iDxY5bpm1OdATokKGnMfnjlBjs84h4m8kMc86JRA6RkaXwBp6HTw +7Lr6DB8Qi69KUZpR3mUinxUC1L03nzAdpZ2rh+EzO27BQs4VH407lshyXxeBwZc4JnaudNW5zdQF +bmc8HaOy9OC49XXky830lBgEFsR1engteQmqt3iWHVPXWa8bXXrWQhEJ0wIhBeC5p7cqV52GDxKg +ZQtTW7StLhETXwAtp7bANZuKsSF6pgSsCULW4FA608JCqWn+/R92vp3kzQFvhdQXPY2HStESowdR +Jg1fUSDO6kw3SA3oNyedoQFMIk5PBbOsddIJgTD7BVlWXj5qKzjt6fhowUHyz+GppDXYOeHW4zUE +Dr/Z8Y4MuHSbmjr1tOsps5P9X2tx6blpsAMyWjDNsCywA8Knc7JhI+kdjgDUbZICtOtHLVaD+7aG +WT+RyTBEcHU1eUdT3q6R7yUFsQ42g3Aw3T33kLTm3TTE7p1E5psWSX2sV6BTNrEPWD44/W4XF+vw +67yQ2AXb7L0sGM1wfWxQCYff/YFJce4YvifOgmIzZh89OUaD83Cv+FxrUCPjs1DyL+H3U7wzc0D8 +65fdcOTVrOepeZV3EGsWQiox8UWN5qg4Gtt1LvjHaUSu09XCHbn0hlfGSi/ebkMYMbdBULYrvsNg +dP7EK/d0sLUmDbHd316kDcEnYAqIUK2KRmxBReTK78ARAnI0KoAxampFuU2nmt04VkcvYmqV3bYE +9UFj0r/og6rAMGycxmLuvpuDOs4z4nJGVIgRZmbTzW6ArKGvV1x1xjYqj4dXUOusBJLXb4bCk9R2 +CELSwCGZ312mCtkmt1UG6RMAxTDFoakNDkQlcZ4/kVX8trsZWD7HWLHkf2P4aJrOKO5n0pqc6SiP +DCa9YUl+2DZvt9HXrJN2nH9ODJvrWx1bwbuDm1ly4COIka0J1iunEgGVDLiSxfjXP0vx2jT6oZHn +sU1TiJhvbZmCo2YiK5dKoKwqAgTeLXu+n7Hmk2a5UNPh+WWw+2sWYcbhzuNszSRfhPtfTigZ92zm +oh2ppNeeziZtnmbChblUC2dYrHLYAxRnbPJ/30Fba8y+Xn7Dfm/riRAmwe3im38/cgNKNPiGJiS1 +E1jnk7KgqaWkk53rDLQjnDJ0I18FmkFTukpYpdVwLPU2cvX+uxZw/dYmbhEOtxwQdCMz+MjuvCSX +ZsIaG59gKlIbYgett1xcQAlXyHBvgIY3SWM86zmLlJLmvc6bPZfBAWeby7t/WNt8O1NOtiUV6dmB +qxNg4d+f68pnPLM/p18mTOyYPzlwW45T925kVTnIrCYNgfHHdGgFj+t/lFjot/NRcmpdS89tIulz +JXp4liTAXgzBkJTFmG8H/NOOj01gbFDxG6MxZSjzNszwLjfjJyFRy5h4Wc9ejGIb57lBYjhuOxpc +mEbv4YH/OR6mx29fhYWhPnvkY+bWzrKg6mxnYieeItZDJ51JpZp1kFUHpOR3PcuzG3OFtxE0xBYW +iHGNalrNf54Ea0yW+Uy4wZdaVSrX0VDvMlyPQ2vCyRG9mD+Wr3aDukycEE69kUjY5EhxaoGdycjP +7/DqgEPLPoWMzIZh+Lb5Ed49Zafe3J2cHv8AWKgnrIGO0Ux0rIG24BzyHzr0sKEGuqIdXAbBOOsf +1lYwIrewyf9MmXsXY1JYy3OzK0MrNlR0oA6YQWrDYa4vDFXevSAxSGunfh8fmtlsEjy/b4bubMTz +uHqUU9dmvOvog90L5OIkXTC6EeWQlyYOBYBYaJ5hwbB97P7Zh7fkxC4w5RHsSoUY51B4bR/44KeQ +Jgad61754MJJ6s4rEYwRw2lad+SnJmA3rLzJWokZjZQ44gkY2PcYwYJL5CXxLJuTCca11XYnrDBS +tKTRtdpZV9QTS+xG3m2DebGYFNx0bA/SLIFsg85f2+Yw7s7qCktd12rxOhpK65ABLrizu5jY5HQv +RmWWhm5i5rG4Cu8jZq2ugC3chaChC9a30CMYxA3LpL/aN65EmhSjpqVqJ+ynaUdgrvmVKQesiGhl +M6t7kCTmIXLHPu/05EWw9kiiHnruvCm7F9b0old53jVQfveZvfSLhxmLLW/CvGg6VNavqRm5Vsd6 +wTlCcEHOnoYNhEaGDf5ALsO0BEBEHcclzjWdL2KV9DXv2NZ7Sn0FshFcZjbWCzTiZipTaq01RPHS +pL6dZcv3HicIyiDtiJUBDDHj1nqQSOwMPn6pyTfnt6k4SXyO3B/rDRPeab4ZmjdzqW32YCRaeToq +jeJmtoB+C6pLV+be05MkIFRX85HOMzNIveNkp20tscsHbYTcLEzv+bPIP/oaI115SVSdGCOc1rD0 +aZon6zr2M2O4gNuboelYdqACYHHwdHqVD7/ZoLnBu53WXW45fvsdH84QLbqUz1s32lQgv9bfbHhG +vR8h/udCwv+TysS2R2YjsZgjKug5VXivYl2VDZnqu1DbVmuKLMRU74+sd6EF5naJr418zJKM3Gij +BPTvEIYA2sDibuONvA4Ns/DjIa4zcL3TSrqujXXIQ2Ufxa6fSUdB5UlJuDBX1plX9ZNXdeT3JHwp +GAe73apLWihAByH2YMHHnLu3zAZKGG212F2mFEcGkLKP+dyvf8/A99W+/taxW6LVftqO9NOMgf4b +04w77mNofo8x9FF67NiykTNRYMjDznNhWuLOmFun4VsMxyb5p00TWrlY6j6wEyazjLnWP4I2jYcN +1E1cun9W06JlEeRSaMtPul8+Z+nxYquVYzWs3p9b08OWsiJugPIIltr25MJGtqjNkP14HR18Foj8 +DsCS4Qq516j2p7iNL2iJOcsA9xwQ3qNpoaWYBRkIFVh/7hspFplT50q++0XoszAaOc/IRAtZAM8u +sLmLZNsccB6tHp9UC5ch5+cRA3yrATpq4Le6xRmYsi6nPAkE8m6JwAxJKruEs0DWzdl8r74GDDos +A5xfWfpY3PGIvmgfUx+q/46TY3QzT/EihnucfBjt8LuP655vON8PxSqXcck7D58AXHQt0isfOCa+ +znfQszE09n5H95RX8O3r50RoKsnFO09Npddnc2y77yEyeaL3LyI8fPt68LT12dpJ5jUwHQkwcBNr +KoDrkzFRf/C+TAUORaxW/uQe15/iGCp8420gYodzLpIYdYWPzVBUjv2z7M0Nt6Vx434mZw1kClo1 +MmgHISRaGhgCrSNpMEL9uUJY22Ja6N0JRSJiUSNXMpWtYeduKXQZIyStbWzT6sqrcgOUunyn9r6M +ETrDGun8cr0GBLlaClwSlIH1gpO+T/0Re9OrzqBye8q9CPUAp1XL6FUs21s90ElozpbG+1WMWdEz +oJRYrtJZ2GbVhBrrxc92daU2ALErQJUp6kD4u6PlZib3568cSs705qFVdmKlgWxcq/FEwNzy7ISU +pYK5mVlchqI6a5VOFT4jlr3aA6cqgFIXKzB8WzE+TK2gGCZg5C0Cl7Zm8SRtCnUY11vAzmC5QeBc +yhgt80WiPNXFMi7vG9sgTqojLVKiyCld5q6M8L324v5qc2y5gfz4HNYi3AIYkjoUFcMo2b0GmHxb +Pjfpv6JfTcaXFONKbWgtT6Bu2QsLgLlt+RQ20abYeZ/2FST7coHfeUxGQyfhEJTU3brhSQK0FFMT +2pJU+SDtFxiQSzaVcpO1sMC/sVYwHOERlKZsVpaGjCmTO2M/C7uQL1+Js/v7hwMtt8Dix71Fxirz +L9KmeDixhQogGxm9JZdSwi7a6o8+6WDYVfUB2blHS5a1ca9hFGTFcHBbRqEMicp5hQBjpPI3RxP7 +3sLK/da7W9DIQ2beAov6g7exgAQx6yxKh7kQGJVdo5ovaSEYYA9gevIr1PgR3as8lSM/tYVyRelW +D+k6qHxZO0Rkb2xjlRfQp8HFPGYXyYNC0CyfbkVO3LU+YHbFBKeDJDCcN0azQpi3ORLWngJuGlys +xRVS5hioLqO+s9l7HboTyIf5x1Y813/NVR0FW83WgWriWoOgJsppnPlJINni8yNS9oLo41O86Qv1 +Hm7l8BxoRgfqqMTpVsnSKaJvMIANqPQRfEkK0OJ4Xwd1N+qCT7ihxisxXPFoqaYRssUaLYwtBbXy +sX5zgm/TiTAsQevSl+8Gr7ulEG24CrvZCYabCHPMxewBJqJgartBsNOT5Ea8zEZs5pnauUQURhfH +Ult3mRSgvIp56NlxcLCfSYODaMn/qe4CWKfJrDrGdCfI4S+SrxpzUtIYvaEaHABianpoqdbPjapi +asRzDfxEKrRSpyw5byD0oMPDXkERS8A4X/I81Gvlt0JYAm12pK8xnBJriJWEiqzYX/DjCEY25ggY +CYk8xbnA7c8ATU1HLOYfNzH2vUVRjkgw2TWanRT7qN7Y3CkPshXDChL4IyHQRJfwtiddEEtASIOC +F6k5FpDaNArgUFRQO/QuMgWQ0RrAjiARV5DP0fm+N1Gv9zsyGHTNp707Ld1RzjI0BtyZeFV7kupM +WBR7fkBYm46BZrUXf9MqG+PxnShugq6r7ld1AsoUiDjRbMCpyxJHTWI9SejmHVFrkAh4ZOXGDeXW +Qgs7NP8VkCFJhRCh8ZdLqOc62T1QghxqV4I0zFN+d6bm7rAfi0ZiJ54IxBWOjHz445WeXaWCZ2tv +qPN+znIvX6PCk/ANXNvMIOp3kfuJxoGAe3H6ieKln1jx5xux0dT9zRacuC0T0GRsjIvSJHM1drPI +jcfeypEiM4VqEsPM5lag5XKVZNLW8chSRAH0S+F3cJwENiTi+/gLG3HeEWN/jjU9bLFKrC+2yzVJ +F1meHd8yy/NyhJPbIaTJl/ZUyYTkfTPkBsFMtaDxAWFqP8acf19ImUqPNl4KHTpgoSjipXtigSdZ +mRVQe4u4ePp+vDHv8MABKpk0bF9/uZbXBw4mcAMHIqWdZSIkFjp4WLW7N3WsaM5ECyUvZHut45Yf +CdJHPE/soS7b5vlbdCSXE0XkM3azDHEORqVvUR2yDadUbq5o2N8N7Vi/uxG3Ugb4kCVmTjUyjrYk +xCAgukirk3cG8cxMFNhxaOCxeEFE/h8E7pM3QBOa9Z+FTJOSyTNS3KKmYl+7qsUgUaCwz0U+H1hw +gI6IfJQ1Qcs1F81wXVSsTN/nrcJaGAf8QPmPcwKqEVl2GM5Fg5Fxzy1fk9FdoafCTE80IGkYUf4Y +DBMPgn/j988ufShe/p9Zgjf6RElJlRMhYS8TaxjKgcGorz0ChhrRNCSWx+u6ryizyRZrYjB82n7h +CVNbjWlcuNOcTk418L69qpXJ5N6UOXHdCvybsdbthb1zjIwM2/keqtmGXupLdhzJqd+m3BsC1EV3 +fuKl4kuadMtmjLOyuNCCasXTeRI7qpCukfUjYsEXQnMxZRkDk5lnbYCclXUI0iO8YZR9oQsoBM6G +vd/meUXHPX0qKRdazygxNC6OLmaklLiuU9CNxZMltV61azFCMaiYZfWo1Owl8HDN/uN7xnhTVPl0 +GkfK9Rlpf1Y2e6Hy4wqIylWF9CB68RW4CXTdbvrxcr2NJIOJziVdD3zDGUAgENZ90Mk6wn8wgRtJ +h8VzVc+WXevc4Wx7jnkR0tGajoaKDDYTCxCf/AG9xfHyx/nmi+2yNa2LLMhlUioBfcNJNSNP4s3D +WqCz7RXzUqTz3Ee/45tA1sVTrvTSwxoUlZb7R+BGCJYNXF5fvMo2j4DWoTxKSdamnzkmWIY2cjjX +Fm6c20ZsvnnF7x/LfgJudD07dZERLAHVYBjQhecLZ0itircXIrYQhRYU0mEA7H+MNUuaRuYDc+Qx +zzKHz8wNAQY8onjH3o0UkLqZlvFjYZICBKNw53yg/iRyqlRGDt4pTxC1FwulEff0XUP3+eXUdgqU +EKuIEa+l1B1Q385bU+IMkKPNofGWzowg/IpRMEwZmqxtExlcgY0aJnBDpZ2rmQ/3yxvLHaza35JK +NbJxP0uOhxmOxu0WO7DjZtkXyvXdSK07J14r9cxJMg12DmP9DP7022tglv+qWAtrYGEseHYc53TR +EouaIC6Ba3IuY6ZJw7QbHYn3lgG/0/c1T4mBJBgsFmXJADX3ah5KotDsJCk10ANX2ezSuANg4bgY +5zdgsZzw39pD9ZXJxSKV/eK2yXG3R8qqB5mpUwAGMIa2kHDjS//IkrIWeR/z/rFXqQTfEHmef8sH +TEPJCXRjpgPWBXmnBYj0CLp++ViZqztHuOmg7ZTrhDcp7/3UT3HcaUI7j8CQSOvlk6U8UI+RJJoY +R1UeYU+n+eLjaka6N+L7+9qecTw9fLyUQS8tC4EWA9b4BLf4NWm4ZYf+uL/YGegapgCKtstQod2+ +3nwmmrqoaZXCBB1OaUPcbV2JN9mMWEUQXr1Bn6TMpNu+1b7ifXKBHeQqlrrQ1lNjDkg2XX3msemD +VJz4RGagqyEZQUbQgD34MXRINukqWE12WUYmx3CTyFmbvpGKOJWhSuXVx8hJd35yF7C9InBT9Gro +pGlZK9ZV8A8TO0bJE+VxQHH1UkLufdhU3uXBPl6KvcLDp5/B0m2J8d5iiF6UZak30Jx9GyWFy4D1 +uV+CUglKhaJqGXIEmoAX9VthjXuSW/6jdHN/dS/T2FNzef8tvHnBjI2W16TC6ahEF2IZoLG34NrT +ALGs0kBNpuILQgqkIHnQ6KYV2BIuuAyWgqBxW1CQTICzaQSQO27oc2qSEtqQxWjCk/6tHjd2WYmY +Wfy1iTItHJW4SoVIb1lpMoaHFPKHKyK2PJN5h8QEqH+dV26T8gYi2kK2WXUweffLQFMpverKE2Fy +3e1xiWi0KvnbwXyXg/lq+vlX6/oEq1v/roHBr5lPVjCS2pagsihDvyEr0WF1da6gtJ7lhAEKLIOU +PQmyIPOLNY2iE3Gsb9Ga1eCgCOmV3MC8KRevvNnZfupUx+q7y2bqI6plXVJdaeRs8TE1AW6YVnRN +lOBcDDHw0/jB/zvZUPTBQKnZsMpeurot833VYT6n3HJveLyhpnUS9sqbZDS6z9tnaB3FRf+/gx1p +vJLkh7e5g0s1ync5op1tdGHlbnKjAbhm8H7LH75wdWbwqBD9760+77TqVg6qOnoKCBxOeYH/z2GQ +xA2MFLG4sZ3q5fGFGOfPTyM3SiN4OmY2S8lCn1pG1icu0BqJLGhv5DxkYUE2QoNeGEwk4yMiTnvy +BD6dOwCxj/2KYfJ4b8t0SPx88lXDF7FLKQxQOsXPH0d6hN/rhVFgD3oOl9mgwyF3u7xrymDrZn8J +zuReb1Hvwr1PNLS0CbxD9TrDAWVg0/cQfUGcgGsCQGmljZlF2S88w2lICA/G/fDYzyAhkAq07vvi +Vbnf0Onw7fN8g9cIX99dvmQr4c3Kz8xaXkEUtO1ZycwCLlqIurzWGmYa27Dt2bFTYST1honySsM9 +qRo/ZJMgJlkeS+Z58a4opbtbsWyn65sSL3LgAvSHyZfNCyp2YKazVXF8yrH35hj7kmBM4uQHCbUq +7HjQFzBuwNyQ0CLvCw8mLkpAiiXNEPaMPEfWS2COu5BG3bZEMrpQymu1ZevJfswJlda/NwJV8bBP +463gD4Mcdom44P2Ov2h+SK0KauyJ87hPIp9DnEZogDCBjUcbo32JKOLTDg/XkxZ/QNd+DXy9hJ6q +H6m700wJA3JSD18UuRMDD8C8W1bIuucQL4ilit3XbJ7WZo7v1AyV4QHlQIzg+u+X7uoMcd7a8Fq5 +wdJNElgWabTwCTtFhfFRNurLxy/9pEqs9YhAynIxbEdZgf0xCLbX3dKed0pmi15ahv8rYBgyGVER +/2HqakqEcMiXJmcThYppXkQ1HOlaKEQA3PLTOvA85nuRJDnl/dnKzUEclcGKb4lYFBbz1w4RnVIG +HQUL70+wBrSzyLc/SUW751SyBNzxGRU0fadOcEvg33ELbS4+CCGQLBL+LL4zaV9p5Mh00xEhFwYq +jw30bQFyZzEOLIJlC+YaL/fiDOQZVbaoNEV7Hj4EgmIAVsYd9OnPakH60p23Byg3JEEV/n+x7m2b +OR/9KYt7ARMTCo2KrdAqsqy90cvcpuySLZkWVSVxuoAggpS7oyAkRunvux0A9/pwDmIgqc6mH1GD ++eJz1p3HjA1B7Ir7W8OMm44YrwUEzYlqsbHvvt/8Uie+USZSgzdceIskcFDFnSyU1x75MZ9hQKQo +fAU194ynitV3joV7GeIhJC8ixxKOwdEHc/Ud3Qj1RrO7xTwHZ7d/0VoyrvvXWJyVQcfGjIuLtjDX +ZNhtz826ihKjuUQS2acmM5hLFSC4HphKvRyCbV+amII2DK4Gs1cpNFzp0zQqgfYncBubsEAA/D2K +9p935S6GZp9N5fhZ5TuCVdiv6kyqy5gWvKt616NhXqnqIHUCWpyaJrYvdaDrv7XzpoUZNLwVwWyd +jVCsiUYYNFS5gNflG01NeSQO+QIVVX0g3+6HV8Km87vrbRa+he1fxdSMzyODe3apt72f/HY6PZT1 +MrHR3Jwupiv+lSta998JLordjtjYfiLCjCTv/l6M10qEY6pcCNP9gvuuJKIRUIirVmAvNGfrN1du +B5IePDEkqGHaJ2CoE2obr+JrtSW3BrbDC4dn8R3FDO7Bn6KW6y9A72mAcnSUrPQ15zVaQimiVq+/ +CreyfQCEy9lXsGmt/PVMRUiudOBSw4ZMTeQFV7wVIS1b+GpO0sdQw4mvLznyAxzrXhFJZpFY0XkX +DT6ME0r9M4IG70Uehr8tAPfnQIqYa84eoxXMxtC27K32DN9sOT/zJm/WM3Wt61j8g3kKCCa5TU3D +dqg2kN6e/oiWctbJx6CGFb4qkyU3RKG8k+LfFacRnZKoZxZRWA6cOgH2w44bj5C96unzP17VTI12 +9KMEzWS+VoU9hvAFEcrlZlDEsgS3wHgPir5riS3vbtx8mRhSAy71yjZB0dq7Ec3joZzNaP9Y81NV +FpNtF7hR9NgdRGSgLx/RddSsFqm5elMAw3mwBU7y0xMUypoXsi4PJCAlS3CjA39iWNLp5FWmWQd5 ++AJWong1J+aH3VeOUGTf4fhdfjBcNE6ax/jVSEt1TASI7cuP5kAVlKcyUmrPa6GNjErFAZvH2Vye +noucq70rNW41/dN/n0pSJ5H7eupISoTMGB4ghAG3LjhGWxSNTHHCg4JHry4sVJA1r1JZqjKOSn2E +0Fkp7aP9dP5f+pfzDQsMONR7dOvjnOvpeEmrnKnyXxSD3qlI40wrA2vIIMopKXkqQ4nq/tBQ2PFr +7QN0LQSrLUJVAkx1/sXjbI3Y3N9BrGYcAr2dgfZZccTd7kjPWjQPLR4D7em0W403hLcM7BZDsDTS +PVHCH4EDj19++duEHu+IuGYcFEvpy4kBCIDx7ldpWvJFK3g015AoZjBJr5NgDTBsvno2x6syv6aM +k8tz4G2cfLNMMMDvasE+siMDiyRGym9p+rf2s0rfb8WxdJTMeR71a4AcMsMmscbs1Ntbab0fz4tK +UFaKqut4QmRXanzCSADjMX3w6Z4BwqVNEcuaCzIfn5PHv4FPpJXrZ68dcYBFs8Yp1/sMM9N3Rktg +K6KoZFGxkp2Jwh8g8VPjt3vIip01wCBm05Jby4F4e3RxS34BJ0gh2vAAIRrrRGKKzHUaVe/RbIgH +jv/qynZUfqkwQwhb1gLUTUZcQrc1qosYF03mOeOTacgjdUzS9jYXUAW5GzQEdgi8ARHp3WTFgmX6 +VBrIlZ1BlW5dSEcm0FI2KVu755bFkD5grUnSTBtmUMk3jSlHndP9sQan4pMg4B1w0jCWOduqmp6h +RLCEdxMhwj1yfZftVpLaeECu60LjSiBcUiP2hnMrBT8MwPegg/C086jOrPAPm+zcjwsnzpM3+dR3 +QZHN9PIJHvWsenfp4WJoYMcnFK0fM4I1FpPwExSRZ882zR2fxhFiOtenLMCqMZ0lCY3CkUKQJB8D +xIEcQm46o93jl/2/B8W9J41+9lqSu3NqKkgwJCRkTCsLahTQWeV8pX7qsYfsLsLm/FRA9QDKr09b +JLzl3KXmLV75ZtH7N5WCNgfw7Z/UjMDmUOQ3zt+9aQvMh2tT0bGKzJoiQKXXCleeOmTOtcz7JkxS +3wIRlcnxYbdzNiU7r9Lxc1SU6GDsS6BIEEoaDeQy824VU+eb2gyyNV6dljbFw9YCeX8SO/IIHk5T +GbgFNBcnTNUEWVY3yuT7k9vp/eHxctBzdL35wH5S45mbAoSHQ9cawyqlq/NF+qF10QekXNYyX3yl +pWlcu4I2Y0ZU/sBA+2wOEEwNCJb6oVoulL8s/Pw80hzfplmKJgkwoCwHRIupDacxmkrcAfYkdVlz +ezBA4jZL47Tf3c66bljOBgU8Mx8AkbQYzvOrDsCXI7yHGw4bQNYQmfYkNyWZ6ffDtMZZc6XbpvIm +ZOJoXSCrnbq3/Jsflo/dCqR0kfeLk61D5ct82VfEA1iB+ItUsS7AjoehYJjIzdCi/84WtAfTBQXG +xi+c1ZIq0RiXaIHPpbcM70VW46db3b2TGwR2yW28QcdTVnABQZQs7ErBe0m8Xl5Ad8f6rzNAInp1 +tQ9txn4r7H+xaGtTR75OJsPNhL73RpcQvyEsRKQSi6VI3FX5QXnLah9cDbBSrrD90nttgs7RAaly +XsZRNutghSKWhuVtlCy1RwOacMwWyqY+w7tLDAR7BJ+mcLTP+j7TvJrXdDHCq0At2nibNndxWbmd +3s646fYAhcaBbPuTmx5zWIuFvwX+FMvXfy0iX8v4hFxd0M7usoQN0esCPx85MneLKYY2Qlkg2T2s +ArW0RfdxuoH36IFOCce39QMHNwguPL/bWcXjeiOXNu3aefR16Jb7teyO10YbmAsbmx6WrcNxVedD +mtybeXg3gDdR7u7tSRueS03iyzG1uS8cktcWzzqoyLwwj1QkBs9G1btDZSBToXZ+R4J9aC6FLN/r +Bm6O4fYem0DTZepbK8upHDmi2sHRnfG5IbzLM9ju9PDAdNYLNx2Voz+kB+yDSNvN/gOuxZW9UQ8B +HezEyOQPrU2DAC9JvgAYTYAVm8TfSiY92IjkhRYN2NcB6JNFwbc9W/YtW2NHQNNAJFS2CgF53SZU +0aUvx/tUXPjat9LnqTh/TS1o0lzoF3cUnm5vVU0g/cRzUgaSmR/7MTI0sdA9MEI1sG39W9xVw5SN +hVLSIGNu5n4Iky2XZj4Nj2PqdxAj9CFgDLE70kairCDA+qGf8l+C1giX6sNL/9KUaLrIpqH/EzbU +y2kpcvVU939fLHapsCnRhOk7deYXP+gELMUS1W5aBElm/MYgRldMYhiTuHYbCzFv9W+XMl8UlmRv +K89+/31du2mlz43deAjdQ4t+HnI1LDt9s8VJTdvOMEGTxxWPrqqwYwWJoppudz4Dz+1eS4MFcdBS +f8HZCqadC5aq2UWlFAaHcC5gYyO77iRyGk5NwmKEi1rzLifhCEvQo8T4XfDXmOtApzghmz2LxIRo +Wrva1WVLiuSnVYZpKa154/YQsWOYjtv15pdNeBvsRUdaPmE4p9r5EC8rSKsbb/H4Yesu71/hgw7g +giO/sU79x6ia4cnCfsQ1k7/uUA6nt1memSdWG3PJUSdXOQ7I40NPdBJFeRm15iEPi+nTsWtZjzSR +sR08FjqrCUo2HYnoorGfVE0H0cTevxI/Q0bT75Nehkydi/EUDzMi01JXIi/BjrHO6AKunmKO+fcO +546UbfsoQIwBwrzkVga19ELrT0erQ2TdB/nErYQq1WlXMvxAqoCE4T/z1KK6emys00wdlfDIvX7/ +hV9iXgE8t3Z6RXMjAJJriVhLf5Lf44A/Hq2+LMaBIRtBB5DXIVdub7BFuVF0Ks5YyrySncUnvnab +wQzJS5dKgjwRVVMEttJ3AXhWhmN6db0qdlQh6c6SS0fnSi65yMe10XcevQQeS2qJGVfaus6LNZbC +vcvy9YisGVVNmCyrQAXfEd14z/rkuq63Eacw3klkYHunB2885mvDQzuR9y4KMs0g2Hx9wgP5KaQk +t1Sm2vZ8ioWaScniUH15RDUbkQUR2gE7B7hM1861SB7lLTLXHQoDXWcPNzldmp7xzhKOXe55xnM2 +/BaVVqDdgX7YL9KhJrvfjWx5gTPoftwuVdpDqEvmH44PpbQ99hBby7JvgSUJDq8uLbNExueruLvU +Nu/qKwuwQITInXpvKgt6FlFUic6FUdv9tZu1pE/mrgmKSRTJ55Jt+2+tdasvFDKZpK0JJjLlzzPS +NSQicivrOdGhgmuqAYUQZir6OOwOVIpJyhc+cgPqGyD4arxRXB9d15BzuA8H/jtFZrTltVTkNLRl +1g0uxUji5BAIgoWQfDCyBNJ6dfGsPF+OnnFgtIGKL6T8LEu/vMTyZl/hs5rjuMjf96xOzcm/m/pk +Q3GfJrid5dAjNzlybmav4i5fVWWdmIi+Hfek3kA2Ue00AaEkVL03aLLkKc5H8rDnqFMxUvNA8J9U +Y/IwceJMzyJhvm0Rmx8j1zWt7X1gLuXkDrfE/raFKdrmbgOXhkHzjQOoCbmmFQqOnqIri8zDqgxZ +lEh9gxP8U3aOTtB8JzJB1MCj8BmGMEBvYSsxCwPS5lEIEOnNG02GbcXE6IDcs0m0IFdETApJRJ7K +YEtZ/+KjRpvxEUvzOIvRIk6n3sYrfnYaa3gPsYbcKLh8XCWM8jPFC+NNjx2tnYZjBPtxwVYCX5RF +o7POVg2qdoAYEJDrUfrWzTkLLwD+zIdwRSjjn/VxJ4htwj8NIVzOiNT4inv2iCfxE17i1GgtsXyU +QlfdbrPcBsFxxEvpyEopKTwHmMAiujR2qXmQWRTJH6P1EBKr1MEOISV1h6ZH9o0f7QH3UEV0x+1V +v11KQi0exdSVqvpbcLpB5BCGo6SakzsJlkpnowmEIryDjHFR9z7vRBKrI21foYdsAn60iYJVxvYt +tcHZ2ipE/ENRTEpNY9Xj9MVqnNgEdT4cR4UOlGNlGzvhc0PmMgzfHZrEwDM3aZlxucyO0DYzk6w8 +/L9K48mKrv4HjdRzfhhEJWBEzUhGxbDQISKjMupIZmYtTiCGwiDm3+bVQO4ydyqGkbyDDk4HohOW +UDnT6OqvQvtAiRLN6vFJ/niefjZ0EodEtN2OmF/9AnZPYf7DBV6wpW/R6qMzlDKqe6eXhGd93Q8g +7GfiGHO7ENngjosgArq40ITEb+zdxLMJLJ7qGzFYETr2AUfwwv7MvEpT9TuZMkx8hlYW8OKLvs6e +D1DkS3QeU2SOCU5DSFww3xTyiaA5pat7RLFq6J4MkbnsqJc8VP+gWWisZLqljHxiS9S4Zlk8ueAh +p1Y7x0B4wdmWqvujoRGxa6mEWjepakA4khQc8FkCUsZtRBbZzTEsfw/w4EgSZFL6Ex7rPl4ai+lv +gKALkMYkcMmFED2jeDKf24KSgCVRihvStHL7IwiIuFXKqwOmBQJSwVBoqU8dYUzruIBQR+wozEVG +ZMaKZpEi5h3nVCuzsA9PAQSAdSqLuwcWIAHl/O4NVhWU402lCibAdvR9hKOyzTABuG1+a1XFlxj9 +Sn8uNMRlqI2FSOzV/BvRwT5P6hVOeEpxhNOVtoedHZmW4Lkxq23ynn1tPwaMI5N+KnaQCLIzHJV/ +kCP3R9JqZUIQE8Y6n7vrPXDvo8Mx4RPtxDFcWOPpenw9X+RGc/3FoG+tdy96QU7RTCcCTraeaQCs +6oQWuri2udtJlkroWyB8JzBsroIpKvm0IjWP8Bw55lbSx4LV6iNAiYodoi8vOKRU0rrG7rn650QH +WY5fYGbOR6zxt1354zswjEqvUpPe111sDNbEDf3CvucqekWXqBOYsgQRulEeePB5E8p0zY+NWl4E +ydKhRz4AD0G6S9Z6wpMG8N4DPL0GWdoM/amtWgdNmfM5kwNaEc+wlYQFEPy3VOJqaHfFsw8iRyOo +ngGvwDLhv8ZZ0EJEpI5Oiu8KkEpLHDVlcgfE/k2gZcFcBezfWt3WivZQ4rwHrPQxC6xyQ5pw3U89 +qd0irFaf9o8nUqdmFHSdf391lsaj7SGlMuu7LqtKaZtjN0QW7GvBYE5eKchxq3TsjREWPw4X4Xj8 +7NHN13jaW4WuxQytZhGnMTjG/0GOyC+dqUp9tMBEWMbQaI5Qf3xIAxiAQ0a86/U6ZYVYynwlcrFv +gmv3k9dkocLnp1E6eO2MLosy9HrF58Kxe8yD3DkZrhy0KLm3fb8HFQ4OyV41hA9ZiIBb1hYgswyf +lpITlUUJmR8d8vA7U0PXRHLwdyj/xw+dr8o/u69El3bRUZ79WP3YWcIEHAai7o4OBw9gVSp84dIk +V2HhmhtGvah/ySMRBB98Kzxd0NYsh6b0wtOroM2Dz9MeoaTp2HKeXgUG4vcKp8ajn7SOKdSIGXtZ +rlD584YcuTmBLG5DBPjKWEa4cTvMkTlaTjspb8qdDbkPy6ToM4H2Lu+1Cd6Yz0FJxvuGC2GnfOUr +rzoYfAfQFBidnf71LsRYxXU2oLJHFaMfaYPeGeRz4Gn3Fn9YhpOZMoJ/l5tFdP/jeWY0vWD/chZf +4x3q1tJadNOlT3h4sN7bGyHEW94gmch7NZnYs9yxPpKUscOcJAdHDOXKco2WDvVVnT54qTVWUeJO +fJUXnPkFbH2iKuPA7kbbmsb1Z4X8tPKpqzwTznznQZnS1dm6SlOd7pTCU3OB80Z2jP/Lhjdrz1LS +qaDYKfVYUUhgq+y9OydNlbMhZy0zfV7EbBPYSmof2tfw3nWhZ1C9C4xaZrk+wEzDJTDL1WZji0Ad +q3VqGpqWdoyOfUilmLB4gdDsS43zemWuDwKP4Mmcx7QBN/h6jpE/4TCyxiOQrx4R/GLQDkYxVhXb +VbwzI5lm341f5N75MXMNRXN1m27I40UJIcDb3reY20VuSqSsgoZcHJrLH/ff0xyR0Zorwy3I2y2N +nPPzdYX6RgLYpq00NwQySQcjmF+JVAOcziZdkpcMTRn0IU0lM65L6Uo1u0KGdDeuwuqfxd1siZqV +N+1coJUnWYS9BhS8amHCqvDT82PBQjZ4uFb5NqOPCYDIG4nInniKem2aODRnUx+ZMw7Lk0Py9JYW +u41WWgVDCRwHAukxf++c02WisG6q+ce7sJMXjSZ4vi+zPeBxFL4ZLQqAsBCXpuzppUBNVD04/KyM +38/Ed92FMas5TfNdq69FQJP1+rBimAmjIvN9XD9rmhNRo87XzSKDp/AFlYzJ5PQVJClIehtR+AN+ +7DXuC/GgN0/Z0VI0/lmVEx1GZqe2fPOzREW/OS5rUxHWftSgxLaRffODszeHov1AfixyoA3GQyFs +6vAuKx0n9NAOUj/Mt0T1wZrHxb7q80A3FJv4lM2knjrhaPyAwDUyeHdzxuTraV/vF305BsBx16D4 +9LG5rC4pGsDzKx2PrkIoHe+ZY1HqS0TWPXaqOPOZH1BQWE1bkXs8+xLaIMUlW2jFp+ZqkL8p2cgc +EdUrVXUIGk2RHiOkgqajehPfpWJYyfun51PtZO5ZFeJXk/cT3mSbd6RfPvgw1KR8i/Ig910HN+16 +MqtE3nUfiSmjcL6hPmZ9X6y2xFM00I/rvIOJCARTGHzlsUOG4tBWv9JZSq19j0VHtuYaBbWoW6jl +8aQyITV5J6YXZgtsYWi4hMYFD5nXxU+BkldkQJbrv59VaIfeOuXUhSlmpCBPRtcZaUlYmszIAsmk +DfnksvyPRXdaLv743YzxWzU6TJn9rro05Y+xrx7d6u3eSBAy1o7vqiUSWx9ME99RVyIZDIBMziiA +YRvg7fpv1DbZ4osF7xkO7zx6WnKTtibeatJq4xNknnHOVFf8tYbygsgVG3TX1xisLFu6Ltv1o9lR +0XWbQAifri5kqvZsCPEQHraH/RxuYIyW/jyzVhDoLfHnDfklJPldtQX+Z+uokDbKFgGhM1AwTjuZ +aiTVLXeGg4HcrBTZv686Nd9BqmA2CyTzZWGUQC/7MhQvsdkHC72EPnG6Zsd3Z6+wG53DByGBllpv +vYimg6Y+XAOSPMjH8xKrRBgesuRDZebNWTVdhD5JkIXFTVowqfIua73sdXdgDc6DvBGg8aHAjKCR +Holn4XJkYQxCLG2f7ZyQODjU4BgJPSYtPrq+ybNokhKCotP/uYIMN5NwMaouTZH3WB8c2H2YSfld +y79ju/Sca1WtV3nKaoIZsMhcCyn4Cr4c/agn4mAkY6UwmeQU9f8iatL9CeZV7uFxIlpm993CvSwM ++DBeDEaWDJHg4iBbhuCTWZQZPHrWV8MMvl2TGe0VKrv9gcCu4Anw4qEe68WvEk3UT1mtZSDJOxuA +VHXkYNTr2WTefHNHdGGiuqDq0dQiIKYFqRF+uNMqzHquvaSxlD/PwEY8YH++LHsx8CeHIXhfOrBY +3ZvuKNk1xcgRv+4oc2FUlXfNiXqMu27g/ujWXOnNEH57XGl4hlpGyGN7kpW5TU42WXAlctCSpsAx +Pcm3PcittjfdqtNnWeW+aSsDh/jwUtyrzV9u5AJcWPHin8sahEcqZu0XWpANYNwISvjexNBr7Kuf +qh+UjZZFGLNtU8BukWt/uIyL5dvuOg7CqGYrlOGyv3rF5gYB99COku7+FyuoOI/6f2bDk7u7GF5R +7wPfu9YUl39+NTQVulWOqkC9Zv5a7AsCgdm2e4a/3QVF2MfGTzIsvVQLv10iXdpFK7GVJD94eIWx +/6sjzJ1mRmqIJmZpW9gnoQwjf9JZfmBkITuVnpkLyTjPP5CVDB7zdpcwDmeoCUVa48GzmBYzF1Aw +e8li1OGsa6lRKMVSIvKNSvc34EFyjRXuF0+W3ImQudwkkSW6iteZJUtyESCTWy+txkkii8rl+cY2 +3Ii2FN7Z5JPj5iwEX3PvZTIvU4DoqQdjwzY7Eku5KNoBipjApsPmoeoCxcLMilies8dtbr9pQHuG +MrJn0SCPLpfLaAf7VmAnEf+4xUyj9hf05Twx+3GO31kNSgG4zh+BHXu18nRrtx4gYKZqOizqjOzB +i8liaT2wsyTWmbNd1yceywnayNVZ6KA9fe0+PS2K3o6mnfLruxNnpaJwd3CySXfJE1xVoR8B4Uc0 +OARsql4oKJOAL3T2ywqxAj6+JNr2JblWSMpCBvlJJJcNlypcn1rbUG47X+f6LpV59kR3In0v5/tx +6ipLTv4QwKWtF1avqf9GQAkRGOGJaAO1i22HfAG01WzJdNWDlHG3fLPpaSsrb6ZD+Uv6UQpHcXmF +ykGcOQcuUXJXTxiUAY4EL0+TGsRB9s1R2L3QYkGgnIusC8gafxTspYG2uwwThEM7N1th8TTCIGW4 +hF/v8vyYTCqJv5eiWVfaUBzNapA4t4XzKnduQCK7QW7yWNqbnJJb2CzO96XXF3EWellAUHvdOsWy +pmAFEFvDcO+X5rHmONBgDSSOhDuRxTbvbrq64OadSPqjD+vqGIKu5lUr7pkmEZ6gHuOcwMoM/G1Q +9oR3NlyDe8NEUUrODtzxhCt0fMhxLwowmwWGJgRU5uWCxq/L6CyiI7regoAXTM+vv8N1LHfERkE7 +toeW4Bf3Qi0uFf0w2NiOA2CwvQieZQVM7ebf7vtxLwPP64PFgumtmrHwly8oe40eIt1SAfS5byjT +ARviUmJPPQZYe+sVirNP25uJC/Lywj68yjisYg1wY3B/XqUBtM4USbsfsqst4onr41Hc4cEkyNGd +Od6ETrf2s4hRRq6DFA9y8YRPAa5OyE5eK5v54s8XHZ/x7MvGVLK4G5ZOgnDPd+0CeswITm9XvB9o +L/pO8vH7iyC+XDoGX7qRNv50ssf/9qWtBm2CmqeqTvFxYeEal685ENBJXgGDGr9XT1hVJ6Kz3clN ++7YPf8GjS5wFPVY9PJFfIfx/4e7O5ix7DLR0wxiIHZM9fcuNqIfoUJlS1V7knwET4ushIBlhiHxS +NrSk5z1vgGJ29gpO9pRTnuYhxW/tihkqE0avPxj02H/JUqhv4ywSqwM08NkZOMBFRes2C94+5dq5 +q+nuwf3YG3UPwwfA1J0FCgdu6EvZHiUTw8sOXptdSepu4VbYkks1QPkp/JNQ7AxBi5A3UfgtTkZs +65ltivhJJE1oEjH8cvRHsgnQQHuLhDPY0JIb38ka0Gwi1FkV3XQ/Bww+AQw3rqkqDgpvGkdFqtQh +Ati9Opwzz3JUU6PO+/x1hfENNZWpJm4Cmbf6KxDKOtCTVrhv8gFEI575A2GwAUZ+7s/p7OmQSwNe +rbqTs6Z2E1r6ee+fnbVJsbQf6Xay/uZI1wyLcpEmDF8/nb7uNTKbM7UIuqwapGQlXf+GNESpvrKn +Ww/bp6H56mm4axMkRKf7cR3zKOjrm38sQzDiNSXqUy0iCdSzY/El0gvhaJIZtzbMORvLz6quMs5u +sUD8ALjuWPspk+pE/bkqJZE1PLulVxxRzji8KUKQYpQ9i/MuaSHQonsN6VEfwIgOLMLhaPxus03O +qE1AR4VgvM2AamnuqVtH+Mgkxd+oohEI9EY44dNQYRa6d11OiaTrtdmZzlTu/lcy35ej+bjAZtwC +tHniJST/qiAVYA+WVyFSudR3zKVtLhewEESMHyzaPV3YsuKIzpmr2VHj08woTPtaJRYMvWmnikMe +/wjWNlQVUJT4uiEzFW0FWTISDhih2g8zL5OyWSjml37ABwOW1Cl1wMvq6nylg/XIVV3fnCFKldly +Du1wcko2cneNDi5/fs57GnAa/eRukLSSF90J+PUO79lMkC/kRidzhPQQSpBql0UvBqtrCVGpCvM4 +GIFOJN+fKTL07h8JBwDhv+5nFDODzMgDdEa8kvdsykhgPhKcueC9xfluo8DQV0CQlcbnYU83puGG +yLjiaa6dzfyBhYeR0O9eh5BlO/SdOjbkrUzFbf1+l1LUE91Tzxp2jmLyCI+NDSaKolt4vEhmYrWY +od7QQc/1Gs2r3iOJCqHoFj7xRKmjyedVDFXXSOiMF+U57/RAer2YId6Apss80lrbFwl2Nq3qNWDv +9PQF3woouGVX8Lxe6qDkF0Sywr/SfDkWBHAW3+B08v+S6YvTr0KJxDkEgCjQKvcNiwjKMnfvspEs +WXjnEC+AgXTEKaZ/yfQxesPGtxcbnOAV1V9qdYySAMYWLU03gUtROdCzZBamQS7KbgRRm7gHBtjl +TPskPbgKH8vyRjPsvd5dk63cPAzxLKRw4nYjGhB0MLqlD4QE6vQpElPzKpjcnAVGb4VVVC6AO2ny +QQZHTXh9sLmgRw/OQk7xQ5bD/AO6+CDA++P3PNv5WflaHibocuuZJVvfeNQKO0etSo7Bi7rl/Pcf +EsxATY3Z2EXtIh/qjpA/6RCD/GiluCRJSiXj1SyH3LiD/0GrFxINMM825UP6IKevWzfRyqZp8e84 +ONyI3sIY9FMP0sVvUvNTgWh2cWckC+92dvv3ZFWNlxELaDBpjQuuf2X9ADPKT7eadszKLborFHXE +ABncZk40yqEjySaYbJfJWoQXRC/xrd7p37b6VaQqAxMJiaB4iRFAiVEUedBs06Sn7tiyY1J0S7LX +f2u18IXI0n99wvPwNzoajtu51jtJCLK3uWx54vNVm7UH8QVgUi0pb34983kMnjo/yslLhTH+jMOU +GdOX62lrdJkK9vrbpKGzFgj2D3O4zwWJQSAYBiHp0txAnBojwxtDiRkz8wYrscj6fLwFWRxgKQVG +g8ZKEUKdR/64fz+jowK2hGiiI4XU9NUeAhUH/Xs2lWtimeYgYU5vyD3SxTitL+Ey8BqQGiUzVJg3 +UW91vNOKAiGJ2i0rZ4qjCQAg1okADn3jUcsC/XmFRB8kw/Z4TLW/KZsH15RxsRr9A/TCddEMybOf +ZRLdfnd3OdeTSSj2eiTYDHF/O+SOLwPy1WNLx6lNU/TNLFbTYnIKKmBOKbBmrQ/Z632K+dLBW2Oq +RdsjKtuhRvaYSVQ04UZyBxTIHE/Y1Y/+vPSsPx/joYOMkphp/feICwL5/5Qi7J+h5qU4LYVZH2XL +HQFoNm24d8FqGHR7RAggkZeJgyt294VxDXa5xd5DfmbNzc/WkjhbXuPDKIYqnJuf7H5XK0Q59HoS +bw7jwmHnpIJR2pbEjx7LbM1GjqnpU9ryzNMeKYS/fuPDG9S5PjRPJvFBjfmIu4RWM3UUtbYyQ7tv +dwSTdOeqfTamkSMc9N07QsogsIO0LBc21pQOqFHvzDlpEba70zfvDkD7iSD/neL3LY3jiE6jhQ0E +dZCiyTgcwKW1hL3EAjMSM66hT+Wjan9eK0nMdmfXvOf1JqBR5zgK80tTc7/x1dFW4Xp2dqAn6ld+ +XnCVSK9rVFGekgK9LUJRK5UlGBW6BqYCx9D8iUxbWMAgLZm4JQ74Au6ZrY9bcnI6g9tq7mZwr27J +kf1QX88x3/ymXS2ReeFcjKvLiYPjd4pjojCqZuoc7L928uT01am6YyaAKjAyo0yd7yyAhx0x0VpB +SDU31+p5fdRDgc22DEUWNxrHNieTeBjwd7aCTh/zsJAW6p56ByE6ThUaukkh8FlId7DbrEAnnoIL +pTGorWbxoJFTlyC6c3rnqDckBj2rY8v9VKWbx9uCndM1Rxz2HZuSuP0XuF3HNsTHkCDNa2ACF0Uv +ygVN1kCy+yiE9IZ14t4Ar8J4s+2Vy/EQ4/oJUstbC+sbMM8QReqeg25g71FjIGyOgOKfHhNcmgo8 +npNt/BC7I+zgNGjRTyIBjJ/pzhXL+YhqwgD4tuHcEjLLtrZ9IDoBo25AgeeRAUyMtbnmB83bskHl +DSF2QcRNKv6y0efNRLqi0VVUPDqvSxolyhb/7OdYkjPeGG13jC2MbMFdvdeb/ffyTcyYhX8xOZ3X +AvtmME5Yb9A1m/GVOvWH7bo72X3ojFymkAdYSt2sPbtCmX0kUNjzmkW033mSfrf1jMz8lVLlD4sF +cv4uDC/v1luiac0Q3EmMXsvhbA4f3jBU5fRmWqMq9KPP7vZZxHnPGEAFX/enIfopKQEl906thjgH +kfgqMeVRmV122H9kabAAmgngOGLUK46+40iCQW8M3No2EyphSgnllZZ6w0PgDDLpUwYSZmRfAi7+ +yI8uP+QPQ6FTepwcM27HQ66whAd9Sq3T8ld9zFsPNgXiZjvJULCcxyyE0ZYtIFrlX6MyimVSV24g +Ky9j9w5UyseX1BCR4yhTDgfrcVQAr2h9Waq1/udLk8Z0lmcOjNDL5s4yDGfKz4eMkkSfybijZ6v6 +fo7d9iXlGL1sFtMVIiALl/vdhfYRi11BMtZuNlAKufmCtohVpc0VqC4xqFXtAdzB53KA82M7T4Ly +Y5E6F2INkv8gA40Vo5Jd+Eqt+rjOyyoTzCnvJPRxkp6gjKGPvW63HWkCd9WbDgpHKGBMyzTCYroE +LVsvCzUE26ipfGdGa3V2/0kx/Rwp/cB6E7UF4quRR1nU6YpvLNjhXZZWbJsZ2eVrjoD3eSGbMwbW +wuWasr2eqHoZhc+T1vi+4TWXQaOK8+bfDGdTabnjJZ+Box3Nx4Xcra+igRxZFGH2VlBXvdBNRp1t +cJMxn4Tnh3C/ktPW5ImH4ihgMBpnNJyPEcAHnRfOxLii014gL8sqxbAMsaTJb7AjbZrgXFeEuETh +hOmZxRLYvQhU/j+/wVZiEd/bxMn80mVXNlnGHFtVKY4fl4eT1grVptJDHSwZqMyF2zzJGIQm2Tl0 +2ZMJRPfyYTAc4vF7fop9L+qx+jDvv53KnY7HV37aR60y2WGF23kQO9VGzE3V2VMKkmKkEPCiNUo5 +cQqBmAB8WNc76N5e9OPOlyGCX1REtFC4oPegSBZxhsFdpTyoh40xrUEPi9iJ564td6X4+Iv/mxzU +TSIeU7HRqHum9Cbo1SKKHckse+C/C8TB/tq2ufvorMVxcn1gCqjtlUyk+HVVPVOJtmvTm9WPaCpn +CiAkHENr41wVeSeGB+KQ90p1eF0FRaALcRsZ0CYBcezLvntEA+K8w9PdjUjYZGJV19Wkk+2AXn1X +Zofg3DkRKLK7+J7TXGnTSxEkfo2hLvDEnH9t23r4ohAroNCpr2NhrZOoIInCfVwuFOQf13yXSK2W +enkbbLbyAFYON22scGIBWqJYpHhJFytOrt2cFUgNzrY88y4rba+oS8Wd4lj6OOlL9YQyBuFkjXNt +uMS/+mfe50Y9PVb/gAu8Nob2wTolzNlTJyBpUzNucT26u43yWCwNirfCSz217J3Ljs8nSnbUNxeF +VZ5lKMCXmqJz4NG3A+RM076nmfXxLfvkWy/jvchATWBcir+CXv+wXFkJLVUaRj2RdlQ45qywO4UC +oD+/J46o0+qJcPt5l/DT8rzEBHun7897ttzlHN4botBCYn9YPVIsT8HwbN24WBfbrFnXI7BkXmNt +h5CQd+iJGJojVXUKU4rsnWoWDeTQySHPf2FtyK+XR4iLWDP+jY0TEcFaMM51DO55GiMk9xJ+kRmT +/GUTp01gPr8lAP2/CKiN0cl40CyTDp9o16BTsbcQtESychEgWh7lklMl6rkGclLsf4WRRVZPv5V1 +tTMEKt23p3/6Vggy2T5rWRsxQE9wS0sP2JZaxbidjAWCCHK4tlZWxsda0L1pudrM4U6Utd2qBViE +ttbsr0qYUw9iTeztI4YWEWbIjdG137ilAK9qmM/AtjlTONiE+MblJ6gZWDPwDklodDJxZQgZwcfk +qis+SoxXbQwrgzw5aptkmOu5Hwkj0ER3nqZQwxmWTl5nzj/0lX+xnv8EL4DhdbB9bFC8NjPd9Tud +CjeW7vTOHG7bqZDF7ZD0nnru15jFzi/YcseHkZANTR1OX6YRpClnZ5/iIyzI+zKlPkCzXyIubWX0 +jURzAjzg7zBJKx2qUnMRAhIR+9kQpu6sTJSDJMWzN6f71en2b9O/aJ5z57LkuwY0sw3k6EyfPYgE +a1XAyAXmzT9YCBUDHCTCRjBznFJ517W6zzudWzQtehZnkDz4aS7b8h19QUgP46OwUP3mmykjhsh0 +xfivE04P7aBeXeSxDLNlLx8mesG49VgX8ih2PrLsxa8iyKOblexz7XGfqY49VKK1eeF0SbB2u25D +TZQx21mbUMvsD2DLBKAYIMheoUA8c/3Blt5ZYLi5JeufTMO9qX/3lgKfaAvaBZuNNOwoouVYnIEd +nawtoGb20dIJGiIKvVLDsfwQsJSHLOxeHPAyvW1jbtUNbZbE1g1ghYSVrXX8RNzJx4IowVqtjeIO +e3+ThsuGVXyHJjNhNohX4hZNp6FoxJO63VpeAT/0v5qD914LDXSsFwzyivPPzIhlbeJj4jimZlmU +ANLiIdlDZA1adl4ylBpTz3EXFrmNixIHee+vpEgMOiL5yOtCj+T7wrIohl+oTtcuXON9kcpTlwQN +W+22168OBOALphg65XXAmNB4X62O7s7B7snfAhlWh3oLzVb2cIGmzcj/znierz1+BOl/ngCdlk3s +cfEKWiLE+Z1ybUiZRpyA1FwjRd8J5t4/tipJGXjQFUaXfx1HSy7++zwU8Sqf3OMzg7/2CBLKpYGV +W447wnFI/eDl9ngXwIl6+IgLQ/8jNL7RVaYi++lFpvYuRUenr/DS5I2ezBRXOI+2Ec1NtRgc9iD7 +VofCaxFkt/eKuuLE4EfOdqAK5JO3k/S3kM8nFYBzC8+vapbVkubBAcaaBmO6FLCPfuMO4GaqIp+/ +5MX12TZDUU6KgGNEIms3FSq76WKVwxw17thA12nVvHRsnaWcaKybPBD5w6ZeLFSD5fXAkOPiu6Zc +ALRwKXvxwNk9fmbTm8kGD/BmO7y3TLMZU1iYp/w5JqGmUjqFyDl3nUecALCMrcaVT6mrGW0ETrgX +hUH3CEgvTDfv3wneZXW+1khd5H0h7FLONog4tB31fwU3VXWj2wnrl39d42t31cBxhMlTrbHDHHKf +BFgFO6kcvWUp1N3qyYYLVhcdjvMa4joqBIBIOcVJgeRzOjiwQRcmkqwzhG4Bwx6V7cWs6DGZtytX +l0hinHGfwpvQqEntAXwm2Hov+KCUCSQTTpLX0VyizjT8qUliw9gGQg+aWz0Rzao0mx5OomnhrJ8p +tCbpbQSAcVrUc+kVurSpIPplCC6DQ69l3sQvyljudWCS7o6DxBYjNg1eUNIvbC0T6rmQ10ZYJAOI +5nf6OX0DCVkaDGU4zpjqqM7fBLu0U6nZt7XBSHKu+8OJ/IiwE3Il1rj3tKA/2Uz2Z8qdG4zMDwlF +kVj7U1utTnkPotpiBx8VzEiTq6KSeCrRfeZ04Tz/+piW+Ynzm96qWn8DeL56yebC91wv1JKkhO8z +lZe7h8kvtZESUDSUrmsZr9qVWK3LpiUxIR9ytpW8wcC1QU+tbgu7X4H/nldGWcU24edFaHvB+7Bg +fjsQvLmFB6yHOgHFFk1LY012HNUOBhqjQNHrOiPQYMX66JToVZ6G7GkqnRMenXAjMDPAc/oZYOgi +J+EgplO8kqymRtN294TgejiJHhxI+oZVainolIV2Mbu+1PLVncf9p7tLr1IRq0W5+qGF6hu7boKA +MYhQpYE+dl3PYvdZAbm+QVNnsp2LP7vylexPGOGyNhTxcoNUWFIWH7BFg9WM4ubnG9mVWiWPIeh6 +PYuIwKTns2Xwlb6qfxn7US3far6dnHpn8Ueza3qcUiwpE+avF2qlEifo/Hhi4SNTGctAuLErmQmK +qHZtzFnsfsOrYQLCemS5v8aBWcbev+FSAR5G5FkNR+ErgPMr2tMpQjup4mfPyogUwwxX9TxC4zq1 +M5BUGoZ5XbFkce57EhWjchdWmhlCTxRBIw+4rLiyObbFdCraucXwiWUwIDO8Keo7FiYQUCciZkRc +gbIy0kSLSIucW24wCGYsevSaBs13obL3/3Mczp08UYMr9kw0k1hb7R9VYMB+tnCr0W9a2W/lBC4d +/kfLZXtDsZRBQ6C3XrKuJ9wnfzlvnfTHn7C4tYYtH6euw5Oi/DATrmT6oqzHEwZY4a/jK6bHe4sU +yuYqwxTMFtCb8P9+Pdy6ydb1wsziFoXCa00n1VO+1+5lbu2vNtI4rRp4rxWRVL3ihctW1qom2U5m +zJzB36qlXF0PrxgJK5mkSkHBNuzoKsgscsq8nVPLo+kZIsoV+IOQakIKz4DHfkxrP1vC2+t/HBLH +v5FrbKNTtb7xG8Uhm5ctQ2LktJtbmsBdbbNwrXFDAahx9sfYhHMNBi5zkxxs3I3dsEY3aKZ+dpHb +X2Y+D2soaPRfFqm4Cm57fjUhfuTfLMeavwvdP72n9JHyvstwArhDbwquwqr+DxuYsoZx5srovyAN +31kPOdgooHGP5FIeLcmpo3d3+aBpIGIGMwa3R6kNHgW/BLmZ9uYl8xBfb2/QK4CCIzJ583S739eq +6qjqp+ThxvkM0hOci6ymYVr/9Y6ltkPi0+yDm42B3zvbyGcIt5QLTFX/XiJ7sd2bPTW1iiNT/QDc +5myTd+/0NUJqzgqJlfx/2LsE/Yf1c/dZUkZpG07okz/nR8dn8xgBjerBdBsyWClLbOiOVfxSIsdR +6zUWQa77VLw719wpDh7Xa0A6Q/zBiCCpzJpnUZbg9aDjBbS2yBb+oQstdzvjq3JJ7s4m00yx7aHt +5iMzYcbvGVOg0ePPUZxYblaRPef6DtVUiSVEGhJsCg5op2x5N4LwywlOQBLKyvrhZPs1IHI8/fXU +JH6uxq7jH/sOu/wibVjzZfxbHUJiCCaFYc1SSYVWqbsIPJGFAyhD+xoyWs6rHqU/Jti/ewhfGKcU +0QWt2VSQflXlSRPjVKTLwlw8Y0aHH0Nc0LAL+JDs1YT/UdKqnLPzvpB8KVU3ipR/KyA1BUF/ydfO +s3ny89CkBs9oHCTqldlhtvwIaUdeZz8MpPkcOEq2FaAZ774y9wPwBqWDQZq+63Qy63xa0n4uFkVN +Fp72dA6xSos9sXHvaxgtXYExVatf01RoFEMOULDFhzojz/o4hrvD1h5bHQtizNwAtWH4AheO0K4A +bJdqHNVj7Nf5nix7ks2ssR7Gen2Ezr1nvX2UUD0bhQUcowamPqJXw4w582hWHa7Su9y4w6bIbR8R +Nr7icFrbTlD+oixVaPjjcUY1M3tAXbxkBqP96QjswwbyA0uCYDa6Jgt1qeft+wKlNsHhbNUpj6Zi +UgjYUqbT3Y9u78/TySbs/JyccA8EeFv0075cRqigmCzj8H5btR5MembXZxSo1zZttzpVxnX9iEyY +Ypgcyi2tp6Thaf3Z9agaFpC/GV61DCa9CpMl23XWJZjMnFiQ2f0Ym5cKVIkR//CEROmwI4NfXKdP +lAcnAt3H9wJbvnqar9YyzNiDQTrDMRgNR7q3FJolvVFG0dPObdjx+Ipso1AEd5eogUQ/FugOi/lA +kiGlmfaJ7PpPdzR/91zhBQpr8ix6TrNSc7SsDgIzjKZQadYmT0ATBNp0mDcLjb+VFSA14bw28smv +dKItqCIRH0wa2iwIfH1YxPIunBp22e8FZkDz5ROGdOmeOBZYr060c8QobdxxE9zjaZt2fT/Z8jLY +cNs15WoMSRHrl+YY9l06/D3NJQ+AF0DfDg4PDfFpU2W8nI8wnQP5UqBzeQMlBxkGO0lCqMrWlZLR +M6ouwd3e3/mTykp/vMUBKospW1qb0MM/0tqeaE889HLfa2A46PZ5kyoPn7mMHbqTg2rorwO+08IV +Wic2QjaJLcmFpgLBOyyQXQ28kFaer9CSBR2aQ9vm8WbPkmX8rqAK3fkk5IncV/UNhXQC4brWUrs0 +/kg1Gll1qyCx56XGFWY3Nzgfu4utrgDnRvvSeNV8Kl5Fg3X0bJVYWaMGb+KPY/Jja1az0vhZNeNw +2YsvpeupMITbDrGr/o9ZECfR6xJR71+Tg/7h5ZVrcNVBWB9p2QsfwLHm6l6aVbIKZtyrCWxIe0r+ +KOlX3UCKC9TAqVlrBgjNfnO3ZQRXSdzSY1Hq1BBIZKSjRzi20WfthoZ9bwHm58kKubMZS2NztN0w +IFIMdZdvqPhk49sFsw51Soga2+9oyYS7+YSOWv2JLLuwQG9l+sS2cyYRBrp+UwqYjlGLyZraKGIb +1zZr8Vv5j9fQqNHPBqEl6/wnzqcTvQwL53xinR1TcD+oF3pzKZmbuaR+BD9QgyfoJLUQ200lHmru +0ZYqBMJkyFqHI2ETkRX4FaR+hAHo+rGPa9b0Gt9/AG4xHNKU/TcYWzKoAoelLQbJPFCf0WZbwo6o +tqfbRKyyp/Kl/y0EhvTbgnKOyzObgSjkJADK8vH3QCtDYGKPDPb0te1UkvhpQjZ9B3JRxEWpWnS6 +ffzxF1j5Fu8BlyuT8xq6NBfApvqdaLdzEYGa2KbomuezaeJFOKh396KDoS1EYVHEbk6R5dPOC1xT +R0hBWMV2dfY/dOOPcqoAs3owF8QKkDUzFPtaUVixm2lZmvuilfLSkIuQ6QSaI9rpyjglGiV2AYQe +tz0uZFWoYIk2RHZw5QH6+ugZCCutM/2wLLZEIDwW8mBRuTqRra7UCYBjSsD0kyXc5g9wyW58Qlk4 +YuD4qwhODzQ0BQ7GsGUHPw1nFJnE8MBy3rcSmV8ynW7h/AuRXZxQB3B8ZQypIPz8TdJYkP24zvq+ +KlRAxhUx4E3BmKoxxqVOOoXgbnRT7gCXcUkDrmlbgbdWBEWAzvd1NYYAykfX/Xi3Cf1YT+7F2XHG +UTUI2LwgMzvcAaVwc4brWotf074q0+9q4wsWQEVqigpBDrFfeoLoeYsifOcuLUAW8+c/wuCuNBy9 +YRKZCBr2chkPlsc1+XjX4xJ1dyCMj0kOcnG2S5VCJa01pF3lTJoWNpIsbyE9DDs/f4BQa1KjxSw/ +46Lbp4ggIq85kyHoecZWR3BTJg1ieNyZ+t1Wm1u4dZnAku7LtDqdYe2w7ZghtrgOPEygAMreCsEO +zpa31EfqyFQ7iiY1Ph9Y5BTsSmDS+jTYTtHt5Mxu4oUvTAASxxDyaLPLhdH6BQZzWtyCGiYAHO5B +AtnedIondBkD32vYzfmJczJrX6vXjnaDpJp2ugnP+N/J6dmoUd1uLhioEIUBJtSTohW/HNq28Gsu +I/U3O4RY5oy94vAPVtxug2dRBXagYl1INGiP9GdXbzBwdOP6w5WyiJfFlw36Ne1kzu8q7ud/Hyj+ +xpXEbWHroO7iwV0TWh+E7SAx1Dfjouf2V2HhDTMWbf/jcBb4iZoXDfZPhOkYFCTsz2wLUq/bL226 +e8cIisgBAqt25ReWOE0VV4C+uCZtCX7romIuZ/JUoFWxpBhe35ShOVfuNLBM1k0n92pdPL4MAmuO +Qk1lHPj1f1MdYQ/yMJh9+tJBRHPBx7dYBgSkrQtbxyueD2kY/jkkzcC3bbj0XCCykwSErWo3gyTG +auBQo8mQq1y1LoXHaJvG6wqrbngxstz1nYT7f7BEbQm/2BMOoIDFUjv2abosWgtjjM1KQISH/pS6 +PzOAMi5OtlazbuIBrw/Jk07R6JPyXCB9ooWkEXd6Vvm3C78nVUp0sZG7JDIjk07syqGquNaaGSjj +M0zzBsToP+BdBk64VjgnCnd4qeWn03vXhyH5ZN6rpg3t99OK6e4m4/76O8Xt/ycTF74y25yU8pPp +zz+p/55f169MsSWD6DEO9J2szHCwmkhS9b5c7eJk5rIirFAb4ajZ37g35+B4pP8cXjeymWy4vdjC +h28P4qCSwrpOTIdPQSddWQb0jD/YBgm5tZTLAHuHEmpZ79NHKqs/I81UAnttHZRBqRYgjoH1sYt9 +Se38XmuhnPHK+eJWFf/qaivfS+9D1Hq4xDASXiGS5w93WZ66yn3QWg2AoiO4TwN0yKRx2t6Mtgz9 +uKDoY/heBCNVu+S0ZTCLIOoNtrGYSSab8pcKf+BGXszJp5nWSz5tExHuYc4m6rwpaRDWgAELCtJQ +e13Owr/lFvKj9e2sOCtzelZ7+SuOnlvCCWcw6GdjM+8oBXtSW2T2tddDfn1lL+CVqbTZOfT/n17o +QSZjLg3abNYGNu0xo44iBgnNTQorqVm+LovHwDuFX6U/15XZ2r2OGkJH5hio4U/G5AxjL7zo47S6 +g+JS1Vc/bGEz5htVioHFpDz0L/3+1/x0cmqLQmIYZVhnJkvS2vvgP8TrQ/TTqmF66XhzksmAUhcc +LG8gio2qMkXm3eybky5XHTcHaI6TNN031z6Kr2ag//g8tK/owHLlC+iSeL0yrLZfj+dGkdam4+5f +VPBe17uWxq8bSVhqBFFc6Crv16B485iytKFumI/KKNWZu+jrkl1upy1mJVREZsQVCFQGPYN3xkHI +6F+fbPIkIb3QCVtfXAz83lPbwtbWbqJXJzY2N51x5oGW7NMfBOvQikTdWCqjpLUOEFF3Vjj8hI0f +u5J8IaEuTtdFmtlC+7uBbhQuFdAkQfQ88w58DwIwYluosa4PwUyVRQM4m37whFSgyLg4iZJFWJ/s +P7q5lkNkkPJlvZXhcT/bP47nSbc+M+zKYone/7gbkuI0n4zymGZZC8epTMfUbEkzunnifb0Q1icn +K/bdSW+hahHmAImHmT/2vV1YZfv4zfjzRHDveDJJQpm9HKslsP8yGDiSGsmoNNSvEMYZW3b4Gt7d +WtldFanQy/6tbxOtCOH5aES0lZJmdPUnVQHcjxnGXk46giWOfYinTcPYiK2r3bXwzvXdLT5dgCd7 ++l1eruIpI/mN+JUkMQYW30vlwF57q5YRLrLYhUNrqIFhw/sADWXeHrtcP9WvB21OhH7D1wcXhM4K +W/onSwuhueulZk5bY0/1TrO8LAcZitzCYVhHSqHWqs1gMgTXV1BQUWfNWN7dnhTz9qm/rEi4hs3F +JF+TU0VvWiDkrn2pNkYObQoc2mQn1lJ9GaaNK83G7oD2L5vT4iw1qi+WhAwBC8FKyc4gZgZa3PBl +uO0Zljo9P/aPUVa5NmJ5uERARpBBJkZXJl951V4HMJTSSqPz2ryAHRM0B4nAc8/XtP+Jna2/DyBr +HjSYL1l+D/1ac4DrU5nL2TmbIC0hNbDhtCrS7x6zWaXpSutS2Wz0BPxWbru3OWd1X9dW3InlPYIz +3rDpXPNQiFMC3hr62zOX4GJHJFzStNZWqgIQPfB9zR/FrGJzAlmS9gfvSBwREWbwhbes01GlFl+J +58oq1p+vJqmrDjgk+xI99AJyFGCf23JOvTXYeoJDNUVJa1meI7dQPSnGXOi5/LkbSeZH6HmNJ75k +lAFBrirWcixLZFeRv9ESnRDNApIwNBKRBH9bHa+HFvSc1t/0oPIQCa4OLa9gT2BQBYBDbywJCD8W +X2X0Cfp0H2lcc+UsSq0ui/gGcxsc1BprUaUmtT4wHjKBJcj6B3WlQfQ4eurlEUnoU3JsStdS2Hk5 +LcbB1bic/qHs6ez0pNWoRWKhvsDPPt+AKKfi/YFvNkb9RGPxpwGJBdTpkRe8mS+zZ0GcsV4T2Aph +qPMug+B1KS9R6KTrnZ5Fo/iYFi0sj4G/WubhYg/d1RGc0R6NBTBkwCaImXnEiWEvBH3r1IGqO/Ta +O/egbu05baNaf+5wBLR2RNF+YJQo72/C1Y7wn6xtm5E+qKxA1QygYxF0LHTQvspUIRQfrZl2TA90 +xA3XxDPujfMI4+JYMIQFrMhW5fqU1hz5ButGfPGJIT84Jkngqc8SGKF051m/T+kFna6Sv92Pfl+J +4pFfI6uCOZSVuCE2jQf05WG67Ys1dzYypJO5tv6ZYzHCP+NeZn7yzHvbFZeEA7X3qUCb8HOA1Tnd +gIdf2N6Lne9Xt9WoqDEAkwD84MygfZb6TgSl95u5UK1maF7XK88xL9TA26XJS8emOC+WQA3GdgWi +Bmmh/YdMPc5mtbPHM5N7M6NrbLOBPKKA/K4E1dY6RCp2WF67mbA/LyWJCAKwVXjHLWghFLO9Rwej +h7eOc0VvmXHfWT0wr6gpCXGxBCl+TAVgjHdy5nBwa85dRxnE5Mj6daAVOJ5xFbJkD2Z1X8b8oWu9 +O7rxNNMVEdHiy1sgFn8f4Dq2z2NeQbD6m2XwhOTZ1i8ce9q+CPKTGlME1xTRQePeeHJfM5VkfVyT +KZ5msPD/b0+ktraV+8a99EmuTdronNWk+hJY6lSay/3MU2oQ6cfIWL2bqBQYiKijRMxhHDK760VU +b0OjFoQpUn2v2gacmespYcYiaVygZYUVWZc6oshVmfnWkyOhWZJ8W+LR5WrmvZzJkv9yv9kriCQW +16T6MUvUnoZVWVkok677i02fqv9I9iEIWhXSEeSjGYuLIqp3B8FzkWcLTI4+sG9lC5MsD7gJvT/V +PoyneePnLM0nR5T1YG9f+HGAGucRX1LU4w6+KI6M6PrIRAHLMmdo2ereXyS02VvJtfuPH3OTFfwQ +V7fmQpK4G1dRZuUcbsT/EVTZWSRqKO5iowcEFbAa48PTfwtTMUu8eofTi+VEIX/n6umMpGbYM/wC +qg5rBPAfHtlLDI9LTZm9eISPjuzQuMqBdO7q7R00GnYc4I1pZFT9SNm8Du3IxmbuByBh3VffmM5q +YHXGo7j55t/yyxhMtfI435IgYK0FZhMKildhvGZRf5p/dx3a3AK1ni3swyS5TSQv4i3dHk/i91FK +XtiVGMXCE0vKMjipdz/K34hytRvRneOLK0BL/NC0OMPoj3ghljbljtVGNFAHml2z5aE6HJJk7NDo +T70iMZZz4lU6f57Ul6OyDrM8zwzgP9u3KwTZCxNH5wVNGdag1pUgvkSwXyMas4CujGIzm3qcUoHt +d2atY9VLfGBiAJQFAFkkVgs4DjAYx0J9GDAUCNuAL5lAMqJjVza8gHHYg5Kl2KQFYQW+1tIcz0Lu +RvcSvolffHLZT/ODI9j7u5zIOqikiQYGW4p6VwuePEeCsOQHMtG6efJngOoabf2lNDFJh4QDHzCZ +fJUWt3nzliiXOcu+12m92M+mULuQodMWxP2j+TEFBnqAcIZFQkKsb6b+NZHSlXJGkkJlawf28+dy ++cNIv3yz4+pQK9Bygcrki6jFKPvOvkkEGDkqn3elrIHaQsl14Iw3LARZnifAxwOeHl/e4s/8PoZQ +xutoadudqUmwnfw1Rx8HfN9YZn1Dgkj8K7hBiVy6I55KadVzBsVHINWeo4r9tw3Pn94AbAlfk0y9 +oHuZAMxZeLBwkO7xLYi+PdEvK6k9XTwBBrzNabI1DaUfRY8Nbuyj/D+FTDJFDmx8VdXBt9KwEgu8 +HFeOxr8Um/jXWCXQ49cnjtPGMETXgKzE/P/tLzw+ySXA1d8sLSeGKjJKxXsDiahTj332xgjh6jgC +OkxaPFSA2R0s4/q/4gZ0jM9w1XuDZlsOowqBfowlbPd6/4ZrdisFDPcYn01wRPVXLfnd7HqkMdzC +txsC4UdXQoqcLf5TllKulLSkGwRBONG1MF7O3AYMZu9S1mwY3S4QSWb0GIQdSIS75Tgz2q1SJnFP +YOOOvtsHiJFAxUyWI9KXdpFE8eSWgtDYDk4SCcgYEB2laDnd+u/AAmCP7GAXNeKH0tQahlRerJeg +on2Hlh49Ia0WYPt6NCUUrqjuGVrQGm+r3ks3IYr+E3+FQNx4gGLzlETFmldq3Oz7B1ZVttXczVX4 +z/N4rqIeb3HGTyuSKZrHHQmVzAneXu7RSkN/ZbqZny8W6bim+WS+f6QsXwjRsNpSg+78BQt1toJb +yArExlOo/LghleK9FK4EWUiv+w+iGvgNSZWQqDLH1rRf0HaFz4073f4Jk7NrubS+WzYp7vlY+j4T +QCNQIuVczL6uQ4+xNEJaPpjXg556pvqrfhgCZyVXUc+swv6PyHA/I+XKHgT2dqRrEO6sl5/wefLj +uBJyqB3qyeVGYW6d2yDOxqQVnVzS6EWGupqv7bmHS0MM94rWiSQ6iW0JrQlovNVIRfKpQcmfc+kO +78e7u6UaUuCeV6+fCt/4HQI0pblTeVl/MVT+F5ysCTxvZbdKXpuyat3N4n7ta0iq6c0W9TXZqzRP +hj2w9kLbESIMfBjgAW11rm2FKOZsV+qGapsL3Oj5MM0+8HP0KPltXLJGxeSPiq7GSedeajjoO+fB +aXOim9b1T2/DqZu9sh6j53iqUbLaVKxD0SO+wP2mJfvJCtL5d1Hn+LkiVLVs5tk40r+MZ0roLqaE +JJrPQ8huf1QfaRNKfMLTNq5GTiSPO2BGLHib9Zq9ae2Cz9Rs1ieWnMRcBnMQz+lTxDWsJJXcLk+d +3hIPFotS4+0Xlvi0hN7pIcsY3nHAbTLzgPFG6qQo83SeD3wZDYVLmVJFbs0rCblsV0kVu+0hbUaB +dJKb/LkBQvcW0Z1nza6Psf/Sq/zTEg01e4UklthwGTxEGzyMLfgCnnwBQ8o8e7tZ5BlLNdlpTlZV +5Spd+S4H8CFQ3WbOBIURZsFn3sVruFiAnYu4Uno4M83epvS5H3oKZlec/Z75n6HF756c3rk3fV5N +H074KElj48g951rtHuDQLK9H4YbAIw0bL4F8z3P1RlfX68sNWWTDHBxxawBZdNJDj3D5qUsAsep7 +NlYRXhNQH34ndgRKq2oDUDx/DSY6iKUwqj5crkIu+WP/kapyNSA/63ItUM3Ci6S33E61SbV2kavW +wppMPudJW0NhFVAkDWjPmJG6PNf5s+PMmGpe5k10MGEm2MbaCnyLdk5BNRh7WeQtEar/jv3mDfu4 +usCICLCg6W/GFoSjqJfssmvkAea6sjQafgwlLaJ3tenHguCOPN+uMtOwTcfCtyNez3bZqQ0In6js +n/YS1wH8L5NIWAgUMyBtZESq7fec1OIBt6IYPcPk/hBVJjNGkP/Y5WH/2cW2+e9rn8iLxCMifOzx +fWm8l/AoMyZYMsHUOT8+GLAFj7kuMF0WkbJhq/HbwaU2X1I+xH+1nRiDiKOmJxzwPfbqzoyB3pfG +OJUu7qpDM4zFfsFsC4rfYxQfGVVSvnKg8awMvCQYDcKm/1vaLCWHLEbNdu4uLCigtXXbxna+9VMv +fz558Vviav28vI03w4wqQEqu6EaHmRS2HQo/HufUjUJ64YiEtI945svTbeD0dr96bvfgCKLQwat7 +w7XdgSoogSzmzD592EKK9CY3RJJs2006D1LbvU2NmvESP53yDxR2cGOc5QTXEw/zTB7ZT8Y5gqZk +13TbGdjZAHWSSBQAoVzwqpwCJJb0V5rwl2w0cVpzNgUkEuLXr7wrBVOWpXAes07xFcsJKGZTxn0g +LxWuQv67Kr1FnKCgf0evk9mb7965uqkVPH0ABYriGtoejpezI9NXRsU5HPUsVflwqFAAiizbWoqw ++5uAzvZPkPTPCO/BK/oR5prk2HCZeTfHMEV6eEYDKLCO3451EOR2dGfKwgYBbhD7RbgpH2BelSMb +SI9cvBrtGTEGvgp88K5nqpWIhU3Pk/xVznOXQ1QSga12468z2kf7XBIjyd+EMh/np9M5D8eFTOMB +l4vp8GFzeXZmlPvTcuJ63uOdOgOy/OaamwEHf16j1UKDZpV6ORt3vOvOu8995gIEa4qz46GUj6+C +Hk+WAF9klQ67uUYxNGZTx7jlL43HPvT+6EpAjY9C5I2tdxmcJd/pWaQvxyXFrlFcDTIp3nJDyE0I +DWNonRjr3suS409nfHQdyKvqu0OsdGidejPVV2mYhQMP68vw7AKJ9UrlnGsit/31tzDh8WJWpHfG +6uLiA3bCdT6EuHjcWuAr2mnS+G5Mt5uwW/um57jGjXQgeaQd/5wu6OFan+bsgPuh908N/pGLNXV7 +gPr82Yo/8P8rjXRMknt1DMl/yaKJH14P79F28O0Vd/e+FcZdMxJASPhMnQQLjW0TMcZkLpjf27hB +nSNIsuVU0X0LVaW1Q1hIiv522jgsgWFETplzrh1lqarNBQsAdvx2qwHjz+5CmgTdfaECr8uMi5eD +oKf0JT1xbM6Cu0/Sjt40TQ0WtraD1hZ9Ej5NbozIzsFB38D2BFwwdEvVxYN20HpYoC3BBtpycb80 +MccfsQszZJdBV04DFA31pXu3o42GONRmrxiyJVTkRP4adPPnrMqWchTNlW8Bvsbt8vzqTcynRxoa +l3u6dy9M+fsgMICy2d5L4D0yv0HAM2jgN3EBlSinScshPd8q25RaAhYWCj3p79oy/1IFyAAJLPNG +qUETpinxcAlfpglDrJJwxMKHxCCpFkb/cGzQTR+Z3j7EyPbOPZTLwzBQGIdcAlyHdX0cE06d3OLi +esdR+rphCys/FRpsdSPTEuOE0yZh1baYUW5MzafGWgQjbegyMwxGIcMdaO35WhjILMlc28b3fIf7 +6U3gixK5KgcDxWWno9wR0hSgMdmCtDRWKQh6WVh2acPtoNasr9MnqddZ/1dHWaLQZFFqP86yqGsd +9guIymOZ/2wnjvcYPXnP3HXIbBMGE4VTe9Qg+oeq1bXqQdGwmxDDcBxpvl32hZDqE23I4uWRQ7AF +K87yoqC/RF+0hhPywh26Hp0LMYMMAuQRFIn3n6l8LlT8V6+YlAZGiJHI8/a7aeqdwEXrbtlK2VPd +s3UQih2avAO0odCGysQQu5FfxUFT5gz8akFWvbz9GP0zYs+57tXvoNV/WO7/OR5xjE7vHmL1ISuE +u0ynl6ILz8ezxn769NWRGdIKnvYq39mr9BrdL4tTqpt8Dd3DFCPgIrOYKDUmvu1lhYog5lJ8hOVa +oafDGTDYCnx28D6l51MHnMxn1oS5rkrF1XZEZf799RW9sQ/fN/Pn1QeARFzXAfPtO1nutn807zM7 +cxAaFpSGT7n3eIf+LnwsnNg7SriMZOOYYGTP5J3djMIXV6dOXu1Wm63kK9jvZKu9Tjv76jDTgelG +vJHJoGdyH9piUysu0f9QJwNt8n5ZX0etPJLlSCN5irzryb29r00p0BuZm/CFgCW8uHB5rruDEZ8O +TYgogkrNj2coWEfAxrYT0WS6yDCWDVyJD9mcnu6YbrdGOM5kIJMCt8xcgyTkfn1AuR000H5+STie +AxUH4YR0W5bcs9+H8NxnmUDuIPpV00njIc8/9PaO7+ILOAhgMz6llPBiz5N80whxODtNdN+wvtWD +qfuYq7bQxYDumQjZ1xfCRAk/f84W3V5tfZ3mZGpqJKVfdo8C1fUHxl05wKLr7zMSGxVIkjbammZe +7COP8d8DJLKjByH8CPa7rLizguzevVTdsgAGWb1Me4JkB6zv7Vq/cfD+CgKVNH8smEIS8RDYEvcP +ia5O2RKffYkKsbc2l9sa9wAJ8sg10dJj7RyAWgE6XxpeX1mQCtjSbq6YyKPHv9J5OLVy5veoekrp +LfTKZG9EgrfGSF/asyudK2/r3Z9RX9XG7MLgkDc6Fxe3HYO1pYumdv+dDk/Xalv4CvlKhgsqzXd7 +BsL3+TIqmixDaCR4bl8gC+dMfeJc9jaIIsCVlNSha4E4ecgxEMPOaqYkMeLzG3x3PRN9JOEYN5Ej ++/yoVcO8iYdYob5XgX4rVB0fRF5mp1o+1gvejDbR7BybI4bEBwfAKrttZ4x6+iebZM79cihUq9ys +H5EVGz7jKH4WiNzALCpBniRwOlGmR0sNVHxXHQ4b8UKO2P44ZV8+GPxNxJJToD9yiURSSlHF+vGg +NRmyrpx+yJNovrTfqwy3zKvpuSpDzYvtV1TndhwMP95NmAtBipBBoZeqoEfSi91NMKmEZHF8405I +vC/m52EI7Y6DA+SHEhbdbE6iDkKMe7Em5NvhaLswAoap1Y3eXeVODQFhaSbmjBiuMaLtiXoPsPF6 +MqVLViQu/ituvl/g99ZIE36K9VlSJKsU7DKQqnuQXX08fCZ9s5liuO3GI589hfevGluN/W8RzjqD +QoWe6WWGL5+EoRz/AR/ksqyF5J+dsf2b26Bs6m5jd5qn3w96hTFCa/l2NT5kRljA1A93soPf1bWE +sHxaMeNZWwrYPIXMPLk3JC9515Lwf2UCswYHkG2BHDFr2rzuEnW8eJ9am0GOThEb4+MYdInCza/N +HPi24GQDADVwXXTLBpb2R8rXZiJbonaSwuCxBSDcJOTVoxGf7QNdlfm8azu7UnayiHF3d+BRXrEk +5fKn+imcE7hQzt8V5gnSc6wNQG0fI14KZjZkqMBHH1v47aC9lbOsZV1bcCrJJL7x53rj0gtd5/0B +fafoMuvNmUftCN68J79LAEUTlMmvNY3ojiP40fZ4/HbA1hxq/QHgDQBEyRWPiBaSQ0v7i1ttSY6H +klJMX7QlADxeLddnpUrNoxYtv8oiLVkrLmSYBdSi3T6XXxCkHs1qECTEYKt72ipFAvS0eXK/A2KZ +rKWb13JMAnT/j8Yj09x9NOCHGzUSZ3jXxfsUF2k1LuTSBQH6GbmOOTvbSr6yfsiZ01qLq4j/K2DA +QRnKW9kQQKHMRCFf9FISTlXt4wQCwM4HXdq3i11laOdqt+53W9K87VtaGuVxISXq4l/a07I6gKrP +yv+fkI+qJEAYneGCd4AVq1lmF4KfRfgJbGEjFpE/WvGpDYtcezil/NidDbA9YtNfNJJCGWUHWn0W +DhEnnGBfoftp7L2xiYnUnYqWUuh+Tnu6K+qBtKz87Lcw1NlfVQJmHF22gxIPS6yxXCLE45D5G6kW +DYuRin35JT0kY0cV6AnVjbeTWslAuLDZo6M0AFN+z7MhVaoEjxrIBv/HVlASE7crSpm36rzmeZYw +IeqLo2hr4o3VwS2d1KBxi99hRBWq4Q3I+x7tQCOUE6aSPp2/oni3EK48dRDAhP9VW5+Nx0pHznaC +lPv+vYe0LQiL7OBRx25Bz3z9Cz8DpaaLX6oaM3khJDcY/JPzE3AH5MfNKhTGeRgLGV/uTBp10piv +4G18ZOB15nHUuMD53qD3CamnXw8OxMkMjvGmS7WZYxzeQQNGxs6KasM4EkgYp/aYnmLXtRy5c+xq +nEPAteE/enFk5RSBtFkXALRO7zf7dByTq3c0qchQvysMW65yC3XXHFnwRhC8lgh167AAzNDoytAA +B+TXjzZeioPcdaDRBq9nqBPIPPqmdYlBmAMaJ43IgrOnM2jgMJ7+1lvTraKo7fNL9TYDMz7Cnn1n +CheoQztCgCRsxe1A5W92A+cBwGkUpYJ3+Bhj0Ak58ibttPMWpBqtWaZKa/dNOZaXhhvYvHLKUk33 +hRZuJUDZbFkKaBTkZhmKsJzqJHKn0rfCyeoR73/69HqI5nnPutm9l17p428Qlmq0uesiEnRebTYF +F5gbs2Cynz9EtqD7WS/3E6jSHbT/q55/F3NneX5MbhD7HHM76Fm2UaRbvmrzHb4wg7Dac+W61ibz +LtE8qyVOA3WUAm2RnGgd/RDI0XoNbLtvoPjjLvRUXSW9G+cI7kKqCvvh4tzrpK3zj1/0CU/LSzYU +8tyx5TshA7LcGQ5JC8w7n/25BIfBG1v9kf6A3w2PHR5Yu0zo8gkeraziNxe16LenB/RhdzVkAcgq +9Jre4M2MLgzQl8Sjed7FHfAtPSGAV2MfcNKTQJCT2Z5aOLfJH7hl56bgKIhyPaHcGC89boIdmB0B +Ar7PYHdzEMYH0h9Kp26ggDkDMjojDn0Nsf/bvwAMS7pm8LzVyRX3cX8tNI4fwDCxaYJfb7f9Sl7d +l+l/lk4y/DvFalL+yK+FTWAxFxdv03QPjBIo0lW8qsG9Vx1Gngtzabc6ERtELaEGEYmx5kZX58Z3 +EP0DkzhdwZO9KErxyRZFAUAECXtzNQVS7DigCzZMkQbhY/d46wDfSP/xfd92exxI8P0Iqfhyydz1 +xe/scU7vY1om2flN99lErOhg+vvGR4kXqJZ+mFPYY1FHK2KUJiZEe2xD3qErtyHuyMv3JLBiOsoz +eFpolC77TR1edtdHQ0aHmCjvRG2cURcF3vUyynPEi3qEZr6PAsRm6WhbrCsA5CzJ7ShUgyJuXUd5 +OuTWmWuOiMN8Uq8bypTLwwkgcTjWG2mt6bdMuYeJXb/MR7orW3n+a5UpPvEFJnia+r+jcXnMmMt9 +MZmcS8BT1tqCmm7wgdNSfyy/s6fhM+EHEeOZoUDqWD450FSV84fLGSHc9b4jRXbKtApN9c/5rgY2 +zvDvFz/SUYQnMD60LChnKPR9b9djsRKjh7xEhUnfQzAKSGNrktdKOlLh57CfSLFSZa6I8n8NKTy7 +MjfPVHI3NlJtGVjxfB5679QqLnxhHXrnTrU5k7tyXGUCE00NxoGRIsuLSldkY9fRUrJikLjtQorh +BuREBpI4hasE0CbKDgyzc4vAjNzTc44t2z864NgaqKOwN4uo6oNQyjsNh8fq5vR10+l60nVde4aM +j5b/tAKHDh+nBYVM6oos8YwzLkYumDEAnJQm3K31vL+nFOhu/4l47wxLY9zjMpNo0M3nIHAMNa6q +euZuEoIHb5mReGMAUWOD0IGWsZVpXPn1oRamnBFhq7FnZxunKgVfdKiyKgiAqLdLV5gs359XmlNN +p4yCOgZZ9wCOGDPBd5CGPVq9WcBHaFW5LgxAfscbBL+L/j2ohNb9DOYWiB0JkuT4T8Ti2U1CqoTY +P0oBulH0LypPgQAzzqxph0YupcVmbphvKsKZlNw10+H8wTvqmWz8lIf+50vOek3Y7wq0wL9oFIfn +zanzzfiuyk/3mebPMSGnX4TWsRHpdkRZiTNCz6jqgr6eTY+ujP72BZifW0kiAPbHdDM+SfpglEtS +NKwD8EBJ5BWxeXmMQMsbYAyL1EcO5YJl0lz0OGhRUMRWY7ZDcTUQIw8o5eX1y/t3BSya0EwmyiaA +xfrBqzYMmdFgq0CqEoFUON98GtCrnH7RrbTYTk1HMtWTvzm0Ud0KmFYTg4Cetdn8tQTzu3GTPHWH +86jUV4/v7Ux+IX6C1OQioxCdzC6e/U10bTj2SB5zdvbwdMSerLN6id8GoWUAtJz5+mqLPh/uPL5s +7gz8s7W3zFLYwh1ZjLj14fCrD80LRjPTsKwXaUkE4Vh4riRIcaPF4RbvOZpdAp6nS5pB2aEKnR4B +ltbJa0aJ9hsP4n9l7VJfb/WjG1a5qptDoyN8eH+BWErcTcaleLbpi0fTyXX1biqYC8XpICFVZ9A8 +ZmBIlqnCJYWy4W5+yaKwffqpUKZREAkAyVsVVOsymocWIglHoM/Vr3meqIfJ0auMJaQST0RGjFkX +JiTWjcLYhU24r686KYqxCLPqpt506aDi+uRZFFUESYW4K3YMWAko+X0Qqh/15SzjqG6sx0H3Js9i +cKLeZfnJEnQLFMgHq3WhOF8IjK4F+JHYYkAB/8S55mTFcxVMp/bDMPIc+GzPw9x8+IV4rrdF6zH1 +7XVuxd9E10HbPOV7PW5iGEFDFK9ENAqGKq+RMchRUAGNEQnvQDSjeXI9Y3+SXg8ia7oOGG+xSqds +gI/AqlKUUnCNqnhyhZdibUmvtHH0L+b80Sx5jdZ/yzpLaeUK88oqZu3SH+og7aA9GJR6GgvzUxkp +NKoUnLkO/Bd+sfCZimqXrEt6xG22VmS7YMIAaUz0HtQD4jWJRLlpzivPwWyYHFYSTYZBevjkiXGW +aCcVjyWuIFpFEIX1QInHtOpfKh8MaCHBwWtj6YSi+rBYk2NxDiNIEjBxUv9bLw2IdgtEuhkGly6G +zM+2sMsGRKpwDUxnlx1OA+WvPfbftA7KqMcnn+qr83DNN6NWGxHA1agmapgS3X+Oc0R8hczH0LYd +rPs6ChhmozdY+zLCUlqtpZc6hbc61ZIdpDOsAQVOThxu555QzW1/ubuRnMGcIXideFutIINUc3l5 +o/nAQowgUP6uG2cZn4N0ITpDluYeww4qlRc3F21hHNNudhXPeX1odg0uJhlTbpmrxZqEv7Ouwh4f +F+rYAeRfDfYkrerc73Tq24S2x5P6fWUClkagJhD2QOzWiMk1AJS1BeK5uAIMw/pMLFGCjusveFNy +rdXBqw9SS/0UTyL0XIsg07yMLSdR1yU5vkRpGipwohib4j5tNW2MFWVPjPbgXlreLbp78UNHU5/O +ptbIS3HmzKOnvUNOX/LySY+5A7XDm/Jztk3EZGxWVSvAas0gbRgJLliVR/Yy8MukUH4/eEYixeag +0sGKUusVv1NJ6AazNQMHzcVXF2/y+/CTMUfYYhF84/x780RIKDuZ5jP0g5yiyBazT9QEajg3bb6g +jGBWEYVMB7jCeOoW4nNvag0dNUrhl5St1BRLIROpSfAESydXjxQkt9xyVrfwA/KDZd8LUdTfwaY5 +SqhpNmzz9GNWX/YCJ0GzqJOs4Yu3RsZFYjHHtj7AHzZyYnwTcSMKPlgeaaA74WMEU4WzvD6UfNIn +x/lB/r1p0CSizOKt9nN1zsF1vdnM1vKHD135YDtPmuYziP3M1n6fxwZGiBUcn1zsmtMq5az15VAo +DMwS1dc6R0B9Pf1JYMvS+lahXspj4i7lHWQhgrB3QlkSHqBtfUsQwH/Y+VwMyM1x0/rAkbqnMRxq +TjI5IONbH7BD0x1JTapcMGWCiZE7uYXHee1HXeUDV6KD0/tZIycW7e7O8Fod//wt2CvFs48jZe2P +opl+sumC1SLU6uaCvdzfjwLP80WChwTjInctORS2VkNgLJW7fU7pjCSusxoRmhTM+GGU5WH/7Eae +ZIGB+s6KM8nEJF+f96efovDPySW2gyRnSu4AjAGdQEtcCbQ7rvPYC9bqeiytHjHrBJ/QVAwABCmL +nYsjzwUfQzjDSZ/ER39voOcL/U8rLvYQsKOMeyLe1HsL7fAnSX8XoFnjveMez7q4F7YVlPccloPH +1UJy6zs1kPEogdrDsf/DrFkfpSGtYbpWrN1wHDjhnCOHGzFXxpxnqLBLbn4BFM/gNdsIUxlWHkkv +Z4hiNhBB0xA0GYX/MlzIYDya0mNa/mZK1gecTqUA0uUlFOWxr2qCMduO+B41tPfozOIS6CrBOZgQ +j4M7ZGvK4aekSaE0AORP7idTvfKaztL1opR96Sc5eq22Gd9DVqlfSDPV1j31Apwoj7WVB8mftXOI +8AJpz61dHKqHZrnSW7xRRTeuF2ANkIj6XAbGX+16rRwXWu96Y8VqWliwSgDNql55bQaIr8RdbNi2 +pCqAGRNjrzVfkDt/254GP6dfnirmWBjpf4Y6EY6RCzfh1hy9tfFG/Ae09kqaJ/rwWlP6chv41AGO +iM+3ixQJ4Iq/7cUFoppOryKu0p9v7nzYY6jxGyyTt+g3fU+t8AjfLgYzCdIw+lXnIYi36CLdOV4e +jA2Q/rgqZV03KyIvVJ834zQVzLVr+xMenS4aanVZj1ovrfseZYS4uHYmMNwrV3YCrq9nAzmUDwwU +cnVdLm8eVcqUOMMQe6Y89sEXuG6yW/lRV6xyNo+fCsbvDDfX+REsPv3nUg0sord2mYYZb5UXBd6K +159bqLJF9fXjQXHGc5a5ggT/taM4qUwFBSOCb28amyF4XiMOwm1r8ipvT+fVEsFymqv9S5bRzyYA +pxpaafUUa2kLrTJyUHgRWDNJjdiYk1LpwelDiouinvPybCs33j22taQeLTTJcFXsPtuQsVcCx5yE +LobyXntpOQG4WB+A5meDsU39MiT/qgLFANJUM2XnQjuSqgntzgYL2SJrLL8hmohkEoPqs8g08GDm +V4vsJifpN204M1Vik060q/FZhZg8KVZOmTRbtwpNSr8Wn91Gs7VWWbszsrGC6EKVXTMNWB03Ycap +q2mpDvGfCmeslTwvLNmCV/45Hcqa8/NRWXyrJVcYtAuywtBmxK8mI3X8u2DPX/s48FkKy8SeYBpZ +qNXon0mD24yfhCz932y1LDupMvmnjZcBL86yea9BZaZJpPEdYZeErhJzcgd/euyMmZ5F4FTP1ft7 +ZVJ+kYRDP4PcmK/ByWMduhZ/Dhuzo6F4Cg4yh5zi4LKmpAZw+2pmSz39qYWZXFUbVXrAUwwlLLO9 +B2E2boaxAyp7E2/2zH2Dga9QNp0WKOmE2GKJSWOKSAnakGNvTE9nJxqQvjY5fTgRZMjarIdj+Awg +FtNxioUPA544/6z10AdI2Sl4B33EFCHzUEQT1IWsR2G7PXuoF59iZa7uBM52sW58DZhxeUb4BQsF +K96RKJLxX/mzu4byCvJRfzfk5uolPOtQ8TrZxACh7qlOtVrMyWPxuzX+A7Jnd/ArwwvgbPM9l2Yg +jlprVad5YOm0op3sMsu+b0uvC09lK6CUhSwx+zLrQ327weV2/r3Qn8W7ULDU/0UbCe2Qk3XyUyBB +PaRyDZy5/qqRJOYGs7sFK5mWE6hRpl78d8Z/qjK6kF2eyJIiIe5SBGYNtTFiSrJX1BR0YG/OLLoo +cl7FeCDZMHD9GaONh0p74E4JDQ+BR9SRmBiDyVjRviPZrk3vS70KBlXdOUXMu+INJeHuTd479bZb +NTk8XfploibgZ/BFlcIlGumbMNd3NkGMMjxQMnZws3oVkQPdZAlmHyWdiZhVlkbs4S6Us/rtWQtc +2WHW8oBLnbj04YL2Ui8OS7CoYlTrZr38ccnIP3h2p7cQnFIkInyoclapTe184rLZvLHcKBr68fX/ +VIfP/xTS0GCBYQCouufztwjs+Si+8d3vqiECXpRVHgECq33TmnFQh7oRqIYze+mYOtfVjnBTTRIu +aW5ozoTEqT4hsxyMVTWPvKX40/lFBO2mjAj7e/SY2UZwJVg+B7MvRcRa1o38CVg/1qO8KqZWhuT6 +69m2iN48GUmrqfQYbt1NyU3e0yMwOJSKWsK8seRPBjoSR4mBfKLnhpW3F21m0PrdvkU803uERNPA +SgBjGJBLMTPdehUVXHNTSb06wckrCCheLUKm3VzSWUwRUZK4ZCAKCTEN6u1t8UFOY1mAvRVBbeX1 +GtvYwGVz6AXPUtt1Wtw8uESjcI+Z57aiz9JhFtbu4OOxbFi9AULwHqhX5et/Mak12YObBORTtR5q +CHJsU9SAhhzr1zvyyTT9Z2xPFeo/oV0rvgqHjCK21UI8HTBIz3Ype4+THUl8FTeQqDSsc6cVmvuI +nVxJK1qOrKU2WdLP7nhNXeqn6ffNNUqPZzNNaGh4YLI8dG56xD93WSFuG1c+LiDbyvXovzde2sDp +KjtIf/1JRVzjpMuM4pw9BY2qWNEJs/2vt6rDjJlCovbFz5lxLM85psyuI28S69ypf3T9N7m/RhIH +K5khot8kESUW1tOcabNBUpAk41obJ+Mj56KtRptDAJZgI+/PwywY8myZIeDhGOcAeJxYHEXhAcjE +aofEmcC+5dHIZ4FnmLd7vZJ/o3YY4Ox9+7cYMgs4LzhcMG1PB1db7TWryUFrLeAeKjPnyLNTGPlb +AN+nYW63e11/K3HLE2++5uea784zhTfsfYwVhrsi6k3S4yM9o0iHI8bpfnq+E2+cEvQGGm2xU47M +c2BabF7+dRc1YZcG1P6ojzqeEc0HQ9OmAfKXPIcOGXje33hWxfH86NGZaxvwigUx9L+5Zvy97PlN +jHGLft0xPR1Tjzuo1hNy6Ktae47hQXtxo7NVwrlzR1J3Yr60yM16vjE69hhFtbvR0pyAAAiJWzaq +uRNOiZvH8f3N9AHKY0Nb4r2hvDN+zB8bvXyN6/8FFc1oYx+2/ZBWz5n87xQ285iaBgxsNkKV5RzY +pv9FalG0pwAmGvYhG3DBWYHzhbsgDizO7rB10dZRSM5Jy/FZhdCsF8ossUrsStj4AkA3DcZnTpVx +I5ndY7QVFj4fDaoJfIb5ft8067ipLEWc9zmNOkzkNJxEVpIS0ChuBmZ5Ln9VxUDGOVUcKPPAzwvH +FMpVfbyYsF+ZUv/MEdpE7oHORPG2gWK9yxThAaELKt1AnnASI5YezBPaGAQnQOnXhtRhg7aw4NXk +6pHWeDYlh/HSzbygCn2FOtwrS4g/Ijr9rYI6Zsb4rn3X6TjMbysouHIADP21EL9MdDmjAoZalxDE +i1uYyvrQ9tO5fe6bIein3rJF4PqUrZEtVXgVufkfTBlFxStXnqr+rx99Bas4vPaiI51KWgB6s6Sd +NkANtq1dT3sawsz3tJ6lWvr/2r1lMssyl4SnG4uG6DIEc4UCfEtL5/F2EC2nZoHRkaX6ifqDqMqU +qStfptjKuP+NyUTzfaRss9TStgWuSKQmVkgfCSOdxCElBGXxfkQj7X29gb+C0jRM0WRoGePU4wMG +jrfGPp/aOvnFKE1M8LVQdicVuiEjVzBlmRCLyNPdoizSBssNVx/9XwGBHc9ujw4SYDkGULe3t9a3 +pNS+LXi9YTVv8fqAgYBy6aRHbmbQDdCcbGxc9WfhIV94GVzX+gILPSlsx/UBjrQAiz8COuTiDAG+ +f0KeVR5IVoSUy7GMc0EHrlBSoq/rJl422KshkVKz8FjtuL0RsjzPHmLik5JLm3lG2QZdxWRqR+o3 +oc7JXmubQoEW33vkk3k93CMOscJNMxbwqMf+xClFv3ANWSNLkfamv5Sza4dCTOfsp/MxyuaLCpxB +IJWq7a/pfsr7V1gL4uAxnZ+F9qWuXE3zraodG5Eeoi6i1r9C3s8jDCUP97zXMIgIinl+YZoDIt5A +CD+epuXPecZoGMPhd+AQechju0rwqddxBkYDiydRNHTYMx4dsWpt+un4GzL2GdQ1y/klAvIrCnsA +HqHXX4syuhu0Wmxcejl0D20UqKQvLIT9jnPyMoXsOXK4Evxt9HsmdTMPEWz2SIPf4mhfZYRWB/p3 +usuTyNc8ahn48rgSz1Fer14sVbTTPKfrLElp+80rCLvS4mO0JTLaQzOFWiz4UP8HQ/pwvFN6RXhc +gPhF7fhB+WvSIMfJSvHyei1Tm0DWbZX4JOdfKJcB4e0ZhiRBRpuDt2gYtEd7hsUaw/y+33bpkxSp +Ji9krOcnws2YzyCqy0+wo6AXCPkMqfTzkghF5wJG5Exf9mA2hEf7nhL9Ftprsv1hnerTDyllfs2F +dayzwnPO9e12yx9JkopIYDBw4Pls2UsNpBqwol1kjfpSLlFQeTyQsgJxgL+ukyq6hWYlzZOTvlSd +OBfAnXC8S0G0HeDYfiDj55VFQtTVjzWAhZXoypTEq36CSiMyCflSVyUUBvYUyBTd0bWfvwdgZFcU +ZYZbWk7Q/hcfDA+ph6LkkrsEHH9OlcZsn5nGvGzzun/5JUqysyEW85BuBapxOVcoUpAZNd/ecvFh +XfujYRVPauo/0ocA6dc+X/wJy5IGl+Jr4iuElRbTVIAcygvZbuV4Z+pOq+moNjRUKjYrEt08ufkK +wyHSqkncFlmue7Qdcy1XjWHDpWcJLQ8NUt27HaXlUJ9BFRg4pKS1NbPThMUwGTChpd49wXst7KRS +3S1B+o2JwAL4eQWBC+VlFn3X2YYgkgkTdUvk4B0Nxg5qjpiz9tR+MN5SOFCtVOwZHWbxZAlCk0rq +aoEjYpL2xbGfneCj5M4HiKpVQ1oIozpX5TFBpqEl4xm2VZYFN6WUej3nnUV+TXJrrmdMLv3D/twc +s+HDbw9F6Uem1nEJ/rbQhh4+qhkWx1t2BMbla/IANrEhkDyw2A32tkelZjF6QT1t7tmoXNBfRoc2 +5QyBMn1ioAVdKxCZhXN/X+0Q5sBS5tCsr9LB+Khft2sQy4f3HvLTKRCGBq8VKKSCuGxIzfMJqUcy +0PT6npGmbtljnIm7ylrCTeo4RTJSGByw57IiwzvNiHyjJoImKtbKznqiLWh3zEn8Jr9c4HM+ifmk +b1dc6feRF5ZGIqBnDrCFAQHpfzvWu3xTFg+4HlkySsdWoq5RWOc5CGV2pyVWmtUtwN+hAUAva4pi +owc34hgueRJKiHNEO6y7IRwRQw5v5Nu1VX0Y3QLEq8h61K7A9w9BmTYTR8SheJnhP6qRZgZgofnQ +odC3eTo/RjTbIbeNGmtYUxMAWDmSblYpIMu8JY80mGrzpy56FnmVp6kCK1uR9q1OKxAzk7TmzXg3 +Qe8T4wRr6ZXSSFdHavZReE1VzfMKkooDgmC+Uto1WlNeQi95+G0rRdjMNHM63D3fmA2r/+CTzmDb +BTUaDldOHQCtf/5hAe4yQ4vAOsq0Z+0SKNpss92liO8jKVSf9FEgmAv2jxpI07JN5uryYJOS9Nap +pIgqXI/kf73rLtL69oK36NYtjAAtpTf37xn2VOarSw4nlNlEpoKirrOxiBbw7reVp4XM+V9dJfTh +vmVHO0NgdLU19h025opRnoG0AfCerkSqKZHcgTdiZC8/SJkBSFP96fiU5D4PyrCmUCSHIxexk+jV +t5w09EhXBw044ZdiWIRQBb6N+wvo/AsekcSdRqhtmLUbhi/0ou4dmuuVda/f2r3RlThQ2mr/p2JJ +coUtdllKBoPRVynSFeO2DdGHZ/8BJiV3ZifrGClMD+v7BFG6wb6X5bu3tY9ApNpFqpMLYeXLdf3C +Qx+nOAFaYvlNaFZ1w5AqLw9eusK3YIoVPb8GDL/NQuHO1Gt1XCIItzgiqF6BJe4MpmATnjjtZTqO +PednjBtlCDd2mRd/0i0fKJJYz/vTC1lhqv1R8WlMESjvTq0LMkOVL+UCWT0WKnbnIpHq6LShGTGV +7mE5LY7SF4+nxTtRAE2UjXkqoj7yEmZBLDlBSOtUN+3hRit7SKi/moYiccjCLbOC/E0waBHnTSeB +sPXErSSAf08k9Vq9/o2Bl8UOX1MF4/i2AhQX/pdZtFDYKn/TZUqC5IcbhJVaFHbBCsQP2s8P1sgP +eX0fBcwygUice+a9CTLQInJX6JWorit+Cz0lwhie65B1nlLTXFIErIysBjb65ZBRYPvJnyC3dMm7 +1Pgj57z0+Vo34eJh04mcAg3hVN+Z4Rb432NlmySk63vNdQcrnzcSjQnmc9zglD8WoolABSertKSy +bdGlA3OBP3klQfAvVsy0mYnh7fTkFmpDiBPQ1PKr7GtACaPMDvuAf7ILT5ZflKyfI6ddArVzoJIO +eovo19sQa2Y1u2v7UzKXorv9ylZtRVFNvsoGqAZHqSl4dAd5ItSVqB1E+enwyR1MnEwrEl+fHEPn +SZrZt6fY9Db6p4xHiDpbDzAxEuI8m64pJaHyTGyKNcCoss8DZlUixK+leSMhtkcjcpmYwz2bJ5Jh +7SPqe/j4KHaqQEvgG3Ys1Kz5/TV851zSNEE61RB4vCCB6JYjb6b9WVXdiJrerG0Z9o4Cpc5c1zDE +Ou5b5gXDOSgUllY2s41yg3p6S1hXJZrCPAU6Vpakmc/M96YTJoxeNXSm/bKA1Lc0FkbT1AMxZlen +84XC34GSKjX7Ectw1Ijz93sQHFwFRQReINzVV131LiMm3c2BJa74sIUq33+ber2fOmn5GrMNfzb+ +7dhw42X3NtL7SKmhQRJOgfECRe+/ELUquQfhBN5cXd6T7Njwz97Wzdj5tMkZ683XBqFGNzUKKJru +S9js9+fkNoy8RZ8+CfnRQqVmhG2uOXkqlXgr9SIiwNYxePFieUGzP6xTIyYeNZOk+91iM+UppZpU +MIPLbat/p4HtHpmLay7UCcvMl+/g7aDEuJPYMGloECTklhY/0UmLp9cfxgiGiWvxdRaeKzPspHi3 +aBRLSxlbh+o1465osxAJ92c5vNMrGSdYWSkuLPj1Rjg50B1+IA2X4mZtANkTbtLgPvwWmuGbYEuO +3LohqlKUEogKJJ7+a33MKl98KS4EVWbi8yQp0OApREycspcG1w18aFFnqYgMo10rAJqUiotKR5zq +HQ2ncqCNfCKkI9RMwxOY0f5LnbOlVj0EeNPHlJxgppNh4ZMoDNOIvGyjD58xcrAYB0eTBZ+LUyIC +Zdvwsjzf8fyVg1M/Ry+1SZdCk3EkQ+F5VwU8jefUkDuDoqC7oynxFNM/F+8J1uPrMFjBG/07tQGn +2O2CckpxZAzBqco5+Nzmg3sVSo2YmbNjKwCrMsSZO2OijKoDWKuRTerTE7BTmLNWsPrLXOkyA0zu +S05Hh+82jmhiKE4L/zg4e4z/TNHlD3nnPLp8TXZgBfcZhhF6w7UQdgERPNolk209x3YIfc8POBtY +TTFJavWPhoV1ozMIG20ietld3VxpGYFuH1G20TTGa/T9ATGNj0SAiBrkazCGZopDmA/wcSvvO1x/ +cgxiwuYVCpEP1gRrIfrAFWv/MPvIrI0JyaVIKYYvLsUYjw5Ang+tsQVPAmD/Lr/e15qXp+r+o847 +FxjcUXIhTNK7r4c/TieRVHAG2i+d/SoE+j5KHfXcCZaa4895cgytFH4tuKi+k+HuDk/9I2hNHOJ5 +2y111G7F2eMia9l6OdiJwXNs8ai/JZCbMyUFUjmPVs2wbkOSkbf3PXDzMuIjixycbmRtrRzoxX0B +pwxWZA/53eObLhJtyYKg2d+hUnE6o8u7eUyVJ7az6h311TOtVkK+WDfRNMKNEkoFGPQOy1dAdvef +EAp3SGnl0Itx+ylHlw3IFfI718Qs5PmlrBiWdYcwGGErYLHEIF87AQDWmEu3O7H8leLWbYsWjfAo +7EOzYbpyjCvrOSR55x+Qfub+JZP3a3eu2gwFKpwf76xSNAGZGqQqCqLRoRynecju27BjLzrEvlhN +ZYRzaiLQe+a9vPoRmq1sdpW00nXBy+seIouQoMn0E/whyYcwHi6LyWnWRKTxr/SfZ08oBeCu+Jp1 +wRD+SEs3ZA/hhkBo4eY4tdBmZ1ZGr692q87OToPZxk4tdPI1NITmhCMbTOizaQDGHyGAsocLWLvN +H1n4Y6koG9o6h4I0O2Q55cgY29KUd6kx+qFtSYDsrRxVmjoSY+EoMFroE8QfcVU1rwaYq5moEjD6 +4S4braptCQaeCaFdMxcD8aSNB+4oWr2nXZwaNePzJ5hIPbPRUubI68MbeCxJRDP9gS2R4H/nUlGG +bGeIdmk1GPBtMhcUJJef+KoadF11VwAq/NsrnRlsBmBPcnm0MC7O4l1ml4Fgw+4nJyzpyOyRWmfe +5wY3WGhRWnyixpm2g7I6c4gCKMyDIEPMJfKng0a/nkWwcYuhmIFX6MdzKNY6ZrolYgS9bDwaNLg6 +kLd+xt2pLxWtpoShVRYSQSKigF/WO4eqoxDaHz8+YOBy7Pi7ZE9FHRtoRpQ+GTQ0RpVUFLX38yjP +7JU1krO4vQxJprZQeQ3j3rBisKVfDQV/oZh+oKShqFYwmvdaqR6VbpG6Z/UgDPb89CQGtNyyTS/c +735ygfb/0hzrzMBIc0f9jzMsnJs9qC1Tc+ahi5d4Jxq6XcC5rdFefw2uZj1jO77PDOQT29F8HPDX +aftrFp7A1Xuc8gcQ/WUeYx6jwpMuz1sy/6Wvr9CXalvNtA82h/5DWHsCBftG7wocQfsFnCewqKle +JQIceS8veusxPch1nJZk9A0rCLk4JjUue3r2e/OEPcYNymTSnHTVdHEd2kjTDqPe6HKP4MlA9r0X +8qMWwxiyyq2D5FnJWjj09+7zMCFDkkct0K/fwkzhD0McuBkZULjEcs+bZ0oGpyV2G2FNEIWTtRF6 +4vtWUClFp8AdKRgLpaQsA8Akb9pyAIteC3DR9WuXilCfgnpK7y+mdxjRK4oKr3pp4F+yBXomNhoX +5oIzfr6yylH/87Ju/1DHqytjKjHnUgQhvsGuQqlCwjA40tbxjYheU5aZ4Nz0ZymhqsggFGjvUSNB +sogA5fuNS7RyMiyW7PBJBFx4I/ViJTuqKsxeeXwbVLnRLWSXNCymKWBdA3iU0zkggjRog8uRlne5 +HzcZofgyzLcjhxUnOnhB3vVTxK5cZDhitA6pEibFwe8v/1gM+YVUcXQtNuOiEICe84/IBa2vERTh +/3ffj4QPMq0fF1EYl2gZ2P5U3OiPFAQVXvPbeDTDoBRTP+gPCI34ROSNn6r/BpsYyIaEywyQPkSB +vKewZ3xwJZGt61GHsdiPTqOQhIVkY5mB2x7Yi/ANuc9XAW02U86aeHdCVqg1xA7NpZUbXVItv99g +4lKCyMIsqwtyKSkNZ0ffqsNzEDyqfKQ+d+WRFiXt8HeodPYtWwxTdoY0Jit0uHHm5dd6c+lDUEW5 +w1cqzSdeYBFdVtcGBeCjCI2FQc61JvJhtw82pEN3hj76z5hR/dJPPtCHdcL0cDW06pGAk+9VK33G +6DTr9A86wRzE9BpOeLebA8LLlbDuiVqGvQGyM/z2eepFMnK/J7XcEn/0o8or+j4QLZzHNi1yIpLg +fsQLrVPNS8rglaS8YSNpd+mtCLf9Thi4eIeivKHLLgrosiPy6i0IFchiF42ArSCEYlM6yQFeeZbS +8/Zx0czo40kTfuStdr+wCTH2IPcgIe3WBndiFuLyamSNk7NBQXhykvRXEZ7zQn9ZHUm9G9ydy6nl +eP4wUfY51MMqUC0VzI6FpDLK7+GEdiOYopXNe1egXG512OIIt2aJ0Jx89KFHhI9NCST35laVvCT2 +MzTXWIZOk3YJZ3rFkb/vdlqHAyhBEoBJ3H7aY36puVAOmt15BBIhsFJopWcsdU5CG8eR4HfzEoSX +Ja5CCYVV7gITmIDcGA69R5kaNTNh70X+nbhJqrxOi+3shYWTXXr/e6055TvrnOXU4/A0BI1g5Vmj +Z2YCKxXUSoMjNm8kiQUQqhWyAFuNyMY3WClxwb4vnDJ9xtO4d0xxX99cscQgxXNEvgeZekVfyKUH +B+FL2GzlZddnDIBMUZ/E7fmPjbIG5S7wst5tE+3MtpPmYYWFVVpQ4ThCN3OrltUx8B1M8JUdrBdO +OiEHRMMf/THC66Zkour0RKYzk5193EMtwc8N3+tOtE9wkE54YxjvDjvN69KYIAZsDtXiHW1jrBia +Cot3F4ZR5HhTyOiNfBAsicOsJPBwUsvcvHSBFwpz9KeJvz5jD8nIN7RM3RbD8t0es/LyOdr0JEPe +dz7jMjT1RmzDqGXzFhY4cKQrXA4moNXh+PR3aoc1lNRSAnw2NQhV+mlzexMGVpLs12TemuewCSaw +5vbqQPKZ84nDEXh77sXbG1MCo+R9JL66P4OXOGXgqxMt/faS8/8ekNVXDEWuWpEmH6JuMQFK5KG+ +79UFN9mUqSnGRV8DayggJaYfTh8J1FX9lU4DX3lS960HmFuPkk4kncb19aQ8z/XaCWPJDbiSNjzv +zNsRPkb0KxCUNh85iF/tzFl1D7Pak26aM+MIgwqVMNbMUwvATPJAcTQ0sq41Qb6I7pAppXjys7++ +1kHy+YKv1cdBsSY4vl73Nb7KNGHDdfHmJK4CqCBdYEKIt8Mf18qGDNjmRWCvBdx+88GzdlWqoiBv +vhqCqVhoopjQujtdoMnrBevXxa+552QG9Uq2IRTN0M5brWdy9uaW3cNJpeBEFAMke4AKSA8vM96M +O+Z85pKXI4Ukg/f801HPwuo5SKKchWUwZMBnZplHepBLAhcRzGtta0zz1b7ytenWB6Cv6vTApXpY +rm5y4u9Z7voQTKYOwLlRdrQnp9JeGLgqKUBGyqtfO8O9Kb+PIOBRmHH/uIHcOEYX/ZPLzTlCIFaP +UuknVQhYscC39nl8U/S4FnUm+LKCoTh7ZmmDd7Tjyla0Q3eKxXBaIe8eDOaCn5FxuvHh3BV7MqPz +77ITT/nOJjx2k5FtZ09YkHXHx/XNcOIg7wfsElU/KYYMzr59YxJw77rxjJ7szUxn80pxv+PA1fdb ++a6M7AxkXE6N+5PEmRgJmbnKwWZH4RTGlWj8Ah690wiIW/ie0Vn7nT781ZwS2LdGY8S30CItK7wy +1kvwxpoXVhFYl9iDNkw8CBBXcxMoyKkUnjdwfeOA88AukxPzqLaoz4r66ERQ0FIoz7vJTKWIFxJ4 +X1oHOpXRd4YDH1odu6mTWIVVGKHLEl6ku5pChozYg51km2KIOdCShYaHjds/A3yL3z5ahtc/9eui +d10xPOY9+XgzAgA+wgUzkt9n50pOzDR+VjA78uYfEPOfYyyI9MNRCtcG3zL9NgiB0QA88QZPwk1Z +Myd9AejdnWth9hT2dqndzmXS9daMJyZL3o/JYu+sYbTXFDO7vOSxJA31Mubzi2BuNu+TtEAy6tFv +NrZk6/aOpaeE67nGil9qQxMJMSVNigJ/HQaSsJDu1Xu/go8o5m71ixW+aBuChkMWGg7qL2z2JTl/ +zxt4AOewVSRTVT7/pSsiGbWPViKG6y9JYUYJf3avXsoPkmOaypF7CpY6nRiZ/hmlYKWemDKikjvb +ImRQM/tUEma3GVl8eaMAzhr3SigHBZGCO3ucJQxMilrMcAjYNVb5MPrpAZrZ0BSXRaNfjXYVXIMc +k3h89pJEMW7WnDY4V8smy7Gqelhrz/vd2EuZoI0qRif6lyi7Xoyc5MZtM4XO+3cyOfDfb46peF0Z +OZM2I5tHoUHtJkFcK3PdrO6hB4TcHRko5uWd14cvpJ/aOLPhZ41FAMy+JQZsAq7TgalUY32wTCK5 +1EQD2jkajBzpWwIVEEjjdRUIPEpS4FkLb/facmQzRj5Rg00BR+TP9Phu+ZzpJ1ONnFN1liaa4U34 +Hx5DjEBsbzos6UjEDpNpESbiWnQo7K/YBbsWJBOqhi/JMqVj1x8uuQvEH5E9SBMGAn96H5eddY5g +FQuXvJyGRikKRDg5950nhgLXiOrZyek66D05BS4xSM6xeSNbbO/O7dWi84utVy39nKqG4yZoBgkq +NtqiJQYpuQRP60ra3No/v30gXz25ZjfUW4Xtiw54N1XYk1l0Ti5NSQYnzi9d1qapo+xxvC7Grk0D +RKKcxpc2sL6Ly/rInLcMoVuIUd1Ieisc+pbCmziUq1AHiTYBauM3RfYjsRJQfQu0tfaAej0FoHcX +tKNogjSShw4OWvq1IdJEvzgJVlBfPHieeu7LcCUlcCHflkJ5LGvi5XL8HJpTl6PKHYsxNVJir7Uj +o7u7XrVF0fCrobgWEh7FgMtLNuB9wBRGMtoSpnGPuCmMh7lQ/psdVeEYj3nNwdzkJgaPJhly2Jth +VwNFmppj3Qes9KJ5omomMXXZYrzi0CfjA9UR7A6GDPyE9n2R6//kL7W7IYKIddhFOzaAYRNiuHwt +TLK4a0uluP00kMNTV36I9A2HSi2yDw9EMtex1gTA4vFl/RYSacupah1JsnSzhkQZlqITml+J/AZP +DiiMaJ3YXcag3zFl+TSNKelsVmhpxj7c17oImvtXX5gDxvbNhLse0cS0mqzbdRbFv3iiUio/gvih +g4uRBAQs+Od9L4rKfPsZgwuQ/9Zx5R/cqJjtvrjx5aMkAu+RRet7ATIRJUjyy91HiDG8P0u/Bzpk +JjO/odEQTNakkg0j4pQbhCBzLA2YNpuWf5bdsi1Os5g+NoJNEtk/B39TMXcK+wh+u5YWDI4KFk5v +9wIAQpZyOmylFd3DTOgENChHMbxrn80y3VVn/SkI74AYROzroRBlknJGJBFKLWCrRfNhfJpbP6O6 +jiTmHDvB9DIjWBky0yhBII9RP6HcGk9SyTdmFqOcm7WYG65iIM+2+Nrlac7jQwtWB4DZNpf+k71n +SJkC2RMsXCnyzvAr777APb/puLSN/fEA0StGbzdSorpqkzOJY6m4eoKVDEBDyuJI5WGd6Z4/z6L4 +YV3kJ6rHPEfOKdfGFy0SbQbPCyL4LFkGsDXECSzVEwBMnu+XFTp2fk5fRzGIUDslQ1JXcYs1w0UX +se+jyTxeUhQ3CWr3UhJdkT5qwum4Lnynh0hRJDaVwyT9WoA51MPwW45rn4rJF8tg3zb881bgolLS +dKHS/yG2v67NgNUj1yflJ89zBPnozu+0q9kvHnK9kBH7nYpwZbNhUdc928GfCrPyWuqTYZm91UsT +jGEq0sizZWs8fRyNpBNIZFT2xgVPrzgMaG0DHzZCAaaKa0SmDUpLuHEAlSOT+rrKW1A2xJ5jOBVu +4GLnurGDKtmVCFzjDQ7lkkMoylDDtnUZ5QxZG4mZPRTttENGS7D9dHeLs4jcJyFw4gxODD9O6dqO +lU5IFo/etfGJnDqD3ROp4VFVYdHfG1dwSJWhagKyGfIm6IDcaw7IqiHOccOhdJb4WUeE8nz09rKC +h8zilsmbnbrleGbHjXWdrenVEoVVg68vdPYkk1iZGX0jFyhgFPld+/YKzz+a3MEmEzVixLcq6uux +2JQIqANfO/41JgW9YoatYlxadwLdMzc1tyJT61/QT451HH4rNbP2tQKTxEQXZf9fUupjTZm+Pgog +u5KHVYXABbZ86BdqvO3bdV9RHU+ACtn1T2hVvKJ879XPZsy5rp9M9Y+Mu0rRfZGKfv+azPwuRRXr +FVF9rzFMbeMy6zzCNtSrVRuo72trEcFEKU9alT186uYjQYjxqbR/fo8XQjwZXCL36J04mswd+VCh +NwDj9cWtY8G+rH98gzfJntda9uSre7K+ih0/+hSR8cibiEFun83sQyyaYMMXArzHLHmoXE/QvNjn +7mH6VnaI5dVCVDZJCRLt7y0+Sn3bRKRIDrb/2qngG7h+k4LAvvIkwqTFK74PKOQPgXGei30ZZwod +9QGaHBpKWDKpa99+suJTFEXksyJvdUbfYmbfNHimZ+tB8eXBj1+P+2pUAHoWoptxYKKYBrAvRbUk +noSd5VJdSn8D99DnJY7i2M+1makTL1Nz1yjrNWMrYzIt640eTyy0ZK90QoK3yUsL+hf48Li5uads +SnWBpmEd9EoZ090lhwuWQlMpdZwP/NnPcLXO/VwWHQ0XQVPFp5PdcWMEw3h4FgESwOfBIdhf43Qm +1B6VhAc5Tbm5zQhjzLIkTjHjupiXVc1l6Rp7X8/J7JfO58oOs0sXC0yCMKUGwPQoe7GxCe89IJPb +ULQFojEcNSoXNVsiAVz5fp4XOJYPUnzVXN5Iq+Q4peIMSl338l4VwyO2plnL0WmmbLE8V4VEzVn7 +rK0GKlfwl6ET5gaEMXElSyxOHc8ArmTGHMFeJzX0CU+gyVzaQadxePmVGSBbiS5POfZCAZAGFwUz +kNZS847Zrj9TuffVItrYiF93vPBT8KztBGBYclvuzc/y5StlTnMebx4Bsk5OoFckAHzr77RDyIK3 +MDSf+G4sISJsAuqpSazED6jUXqMXfkJHuHLECLALEqmtBcJmWU1S9umG9BUl236YzDhs+XFvGgK7 +jjA5LJ/YeqfFyEHY1Yqez9MEQxnQSWQnAE/5W+IR0CRg9WcB5WzDSRA2pYS/NlNBizyreF7F1xON +LJpVG1drorekkjsv1dpKNChNmh6TS0eTKXKxKSH7Nai8Ht9S2nJbn6O5zdxcqiaAz7w8eePh/MYt ++DW8pq3NXwXnZkypAHceTIATd+dHAwWFKIvcNQZToPtZqR+ZQE/Cm2dW/cBxVBMVZSliqynb71vz +ehH/XdyQz0HmH+/XsieMlXFuQMCl3wwVUYbcokAQT7uIQGyHZpLTd1oOeQ/e7pw21Avvto15ENBH +jGUorZ24YxiBLsVYwoJlBRsXO4J2s21yLOfFYvmNQlmooJiLiibWqAkxbC9K6+Y7Zdu4PHyJ222J +cqLW0tW+0mIXSm6FmAQ0Nw3pA/Vvb8mxrQR9cIgsL0cKM8BY5XlHeceeeJMTGXoZYbu4IEb9hCpb +h+/i4uL3xECvAl5SoxEjSNpmCvpwMd8dSNToTIXFc71oRI9KNvWIZ8PPGP6f3/qwzX/K31wbpph4 +VJT7Nt/0yV+z9nTRlnpX4QDKmGTAAny+AaMVQGEk6JaHVRLCxLmkasrjJxFPnS4MQwHfvWPllRzX +/IjAE3oIkeNFJw6kpsECj2drQRWeuEgqIZtgQ7BovsG3CGqUt0z4YpPolj15ja6cdRvOv600MPdT +nU6FyOM5SDm3oJSFTe6350h1CL8ntSbdVWCdwM/cGxyTdXVBKD5UiPMyLMwXlEyyFxqydyJIiblD +WoPjDYGdzI5wesLEoYmvQUyMpgmRmZ450kn31hY2HlJqiP7feNLqo5rOSt0neC2z4m8nBeOqXHrw +65hrQTIWK+60+FZehhSIbK9j8g52aNgwqYDYBDVwLury5aI1kDLwP6hv36SjiDjwweq4oms7cHjJ +ou2bm6051gFC8YHOWQtYzWjWWF7Fd30NEKy7qKxfBUSXZ/zRtwLiYTcBGsePz60S4DsuOZdtqz+/ +RA1HaFjUej9oq71u7GIBAOSf1OaFcnzJMB8xA2LAqvnmnkmUGHMW3GxGgV8VG1+3i0CI/pqINpJ1 +Y3ukZrK0DKDBZgH749sUSnyEsbJdf79rPuKgYDUPD9Yk+1vvWFkI0iYrHtn9OySZY1UMMuyeZFOG +5tzvJZdPk91Si6cidX/bzY3Wyr+eYfUtzzMEUoMlXwwAB53jMGtY/LPNtDSohenqm8FSk2GSwoS6 +VfXisEIc8N+dg5KDGjanA1CWvI+/d1ZsMchacTJ5DZn5+H+DNcvjlBx/EJboB1njq5P2sCifyORy +wSkttBXZsA7pIYlwuhDnhykhOEkCBNBWfebrzm6n4ZEVj1X+0GIdvlkt3GDeD6uD9Lu3MfZ0ZgkG +DJAltJ3N3CV7BR8cFf4wPhe5Ryhc8cClWjJzs3up6B1p17rTSE9Hlcq84pYf+Iv7E5cxxfDi9ioi +o37l1h7THeqkvb6BOWLq1Qd+C3h8L7LSQs/Ii43nL9+FgyLotVqONbbON0hk0MMacLKacatqnR0n +CWF0PVS9FSZpTYYwN/jWL2jucbTnyxOXPGsMFuiOVt2pLE6YUy2whMrqWt/tDjs+ZmwIfFED0FMf +4nS4JBwq3uQBO5z3UEqVrsNK6pjURVlo3Hve7ym6pFeGe79UB1ljILGabaeJ3ymjaD70TW45O12b +0JCzVFbSMw+nLmzo4pFH5RmjFU8ecXrYUzhORY6pfwUOqCjsGoYtuP5DD0QuCzxDpMCef5q2WYYa +XvDAuqKm4Li3NXnwhlGkvcxTPG0tmGqCxuTEzxiNg1tAMSpa9FxDNfHC4u2Bu0f6bl5+4w2mvvbv +DtVx11+Z+rLxCjpHV7cPngMaRKOT3xBEzh0Tz/EptxuImvVxLf67OkvyhovCKpvL73RGIvG0pGId +2LlrxjK9ABQdozxgWKmE+145DDFOlxb4IAjZ4wmwAexGvpvsJIcgV0M+b7ipOo7HnfCK+nZASGwO +lIKqMhAFWDOXM5IhiCW9egEhRBYnOYqWqEti1bK/jm+UQMzUr2DEq9q7j+NRDDgIcseR7KybJ2oY +Tozd/sQeVs3XHQDhlX7apr/MzvuWVFmZ6xzmlAGl143WQFF57E/BO/mePHWQVcgdLU2L9K8S8MuF +jPEqk5yml/zT6b31IgJ9G2cQLb3rkexVrBGQk7VOnCdxn7sqivLgqk9dwO334i0KiVZtygeXRQVo +6Gi8JLyQvhP8IPAdew3S1Y8dlgYJRAjxuXLihafuqMSUReoMrP792KqcM95tNd6Zpr7jwM+rxAOm +4OI/uJXhoXAAFinAlVmu19/py1Oc5+m7dyru9kSa120s5X1Aqz1Gq4GJG9f4lag4zYcUaBhmwWZe +kYkRvp01vGNNztw0f+x7xqCHBSp9abRb+2ZwB0h3L4nHR0VN0QIMfb/g9Xrk6WDEzhZChDKvv+pb +fcczA9asNTgeUC3P8NVIJ8T3a3KRtFMXjDVHSgauSz+mfbKDcazQvwGHSUXXMYESm5fEdsyFh2gN +2YUGtHvXdBZLYqeoE8SghGWQGUedyXUzvmeSQ3axZfrZj7RbEQYHdaGAnX0SLi//IqHnrTNRyLvj +xZeFmVL2uc0UKPhKwdkiRfIKjAWaLxqm0zkaTU2d8MOOt0mbHNzvCkmzxUg31G/HZJ6APqDUMGvC +pfYaQ83Lv2PhmSB6Z3CoG0RyOolyfC0VN+yA7v3qoE2Jhm53SqSgNQIGvpE/L3zYyNliZeRUDlkT +DaMFzT1TxY0HS5pzG4poin4mJ92IroS81AhQoSNdG1RtVUYgesGQ7X0rx2QA4g/HkarglEqVtuvA +mNzNwUuvWY8kzd69LdL40CK8Gpaw4d9JJr65uBOM0W9eCjBryPU53ak1p/YppPAXOHYMECmGB5x/ +SZfcyOQc7RQvQfG931aeKsWRysWW9LteO3UOxflN8GGc97d4ZfisuO4dmtUdztehy93aHl4nzeRg +bkb2MJfyMT3LkKUZmJTa8MLHtEXgeCiAhl5NGTorM+/T4TqY80E8JtOQTw+nCd3pKxTiUL488DER +/UA59TniKoAiFl13ogy6NzoHVBKiLCSghceKrong/8ky55IfQDELc7FnuDrGutuPOHJ7YuRmslz/ +YV5/B8QtsreN2eIRMgTfmt/BHFQrijIuML7e4il1td4cjJlv05oxgKExfHJ+wydMYxZbyK+Gq2hO +84OmYVjEfKUdwGt91VnTkfGjTGpLCPciH243jvUZMhcF7KsNUePO8wORK5J+vQkkM7KHnEiiU0wA +95IjYEsFCyTGvybuTvFVI3JWlpQLRaYpfSdbN7mHztarzGyU26B5NO8aJBwwaBvXtfnaWDnU9rwo +6AH2NG3A0rBMC8mGudSIbg+0M0pi701PZnaMP+VH45+drcMSyZPfcrGzTWrjyfUsazIyzJKmB/Uw +smH9MFbKc88/Ogszo0awamGkC7DOBQIxyqBVCEBZQ0DFavqTDjJriXfp3syQsZAMlGo5sB6B+R41 +qFNbpzEhN2VxyEx6dvEtaQmT6PVcfGc/HPNAeGeqcBoUWadj6gM9QT08B9yg/20NqC01kHXw/Gi/ +b0XufYFsR7QPbikZu017RCd2WskePUpG/AJzGivnYquvlpOT19Xx1O4uROwtwHKgy5L8Wp/FiOBy +/HJoEOUA5uhb2SRVB1ajs+oHiY7GvwwgRxQF48x0LQpd3ID8g4w8bYhA+oEUJoDbw9YSNCTbszgC +G0QEt1ZUyXziee9dqrF9ZwqZ2ellDkHGFeU3B5M/ib9PGzBYRjbpA27Iff4Ha4eILi2SLM+JqMho +sZbPbCDhbOPIXJWYyOwyXxRx2cvsgSrHsq7ZhkEtQhQ/MzsRxegjlOK6fDUOpInCkdR8VcwNrIXz +WVAu4HjB6agGjnQBGTBT4kec39fXwIcfqRwbeuMHlrxg/D4im/6dEni5z76GdzsJ7SrlUm7UBUe4 +caZxTwW2uchvTPnIeU7o/EzLc9Aba6YKtBEKr73mobDmlHhodvvioWTeFGBcMLSlgWgVatfsQh74 +z82ZzIZvFtsEQrPzFTs64Sv+RxaPeBWGnrdXD07W/m5KcpEqC9dNnIpnMFlxPFmh/JVlBeoeH878 +9OLg04/naLHiTFyvN4qRPpLkvkEwHScKxApL98qQzH1w0yNRe5sGgbBYp+AhTvCihkW23dzw0g45 +H4JIkFHgbuIXJwA5MOtmyWVzUsz0H1D/V0QSn+HjafAhI2mKBrA78UYYtO23xWhMDs7Ae5ktcKoq +zucPNoInvDzop+SePUNZyO5rn0EtL2bbVO5J921RjYwmMOPX3aJVQBIB+e7EXjmF11urhQ4GIZLJ +NKOiMjLENJDt/fn5dUIi7rwEFwUZIcKWtZoY/SBZcnaCjvFvDo4UjzbHShdUDcLTZCJtOb+AOHSI +exdbk/G43EgR1TcMD3O5IqK+F6j/6x7k8FQagbbNMfNwVAPReWE6/DnYlIwaRif4TPSraeLnS8b+ +MBTEqvx+C/0lGeUKtQccehr5w4z4sqf5ATFsiwo1JVmJsZPt6NomMpemZmpyrPIK25lggpZSGKxr +lg7K1cxAdlkIWsJPGyv7aoQnMt43ISXl8VmzzuMQ4JnIl+yurmf4pCd9Fa91MG+rOxSuOQ/yRxht +ztCGFcE9v0pklUDadJtKvTCVarbJn6KNb30eZOzUnUcWOWHrjaQzSuWsL3JMzmGfDf0MWznZwWpS +ijDBGXuzCzZ15HICyesSP9zSGPNux6BCfEM0SYsb5u4zTYQjN/if+GiLpxDy4tMtowHzCcUBUmkb +uDkQEWQtdyrBkMQV8+oQ+jpkPBPA2lm0ixTwLGdPzJ0q0rlZroY4nH+dkE2L9vEvnGRWjM7rx3ul +TsINi5ulb04agq9H99YKXt5XQrb8PYkN7bfBPDvUGut9IRlMx2MLiYZG6KKGl2BCSPf047GxI+l3 +Yu/pXfvFJrb5S14P64HGcMd6S80Mbs4+pJKX5Fvm21jdFyKk3j38wvkX8FM9xzsC3nRsHBIyE5E+ +4P2bxGvZ+594ZWOBaME/5MXNe22kEnfPKfkMRLwqeKio9DQKkGLtZt0eUwZtGizb2Yhg9IvZLl52 +r/QrYt8Ukgr2Gom3lVsDffSS4C2GsjSrZ9a9+LG+cgNAIC9Pr8SMvEr5g05xXVS9q7kLa2WePl5N +Sm4hlyyaZ6anT0sm+fkr1eLmz6GJWY7uKRFU9bkxjlhMoxKzB5w99wNDQowwXTgjLLW+oWnCRxEE +4YuaXE8Sfp71etvqLSwH07XndOq0mEjfPPyPeSQtfWATzPxFfowuj3FgKz3uw13FNFdnTtQFmwQl +ndRk9zxAXLxAsHefxIPrOiS7hHgD3qawoMj54ji3LFVMSJKECGvS3nGO01vPolzcCaS8QTJxaV7e +lluhYhwFAC3vpMfHIW2wg8eiLUiHRsHMVMmPh9U6tTMkrLUt/vcj6imzFfKgmO/Ekqbop/+hLBr3 +bsn1H4HBVJ38AS1rgTfcbi/EhQTz6dGd3gumpcEJrNQ27IBiC+HA8UzDkLdTSsRfP3SfoBKB5op1 +tmuApCI5/ja9u6R/DNbd1JnN/EaxO6VIwk0zW/Qo0NxjXAgN24Vx27x5KLrxUTbMH3y4qShUd0eU +X3H3r/Ry9vgUeTk5gsao9Dc35tVEGWDJB5s1upgA8cxq02BwHxE+W5PdYTQqG5FrgYeqKcpItGuD +EwLRt+wHKR5GV0eGgBrJz0Bkt1MZz8w3eFiNUmndN5DFyqMji34WuybYfLR+sTg9w2scLWQ2oTz5 +ka3KVZcQoYhReJJXQQugvlXE5q+MZX/+Wv+6HClD0NrBD8kgXE71CVPp2+1IemdX1mp98BRlmSl4 +lj65D2dro1iKry8ypJr4+gXPYPNU4OYO/n53Jh8g+xTHtOhKTC+3os/7n8TCEwBAbJB/cS10v7FZ +kA0p2uKlsppLgcC4CAjeAuNsF3jaXnbY7fSOYGABUABQZPziK3ZyB8Y4N55DPjLXvt9vMR1KP5s8 +XUsNyUn0WHNYXBPWiVvcLaKFGzMc6INkDfuRMifBrUt80tu9Yj2R2uJRwcazUJ53lG86nLJdZsRx +eHIY5yN7qosqJWfmEhUFsFnCg9VmvtPAB3GhLJES2RNablxkM6pGqgRGsmVDPckY1As6w/hCRD4y +gE1jIMsD7GdK5NuLkyAu6mfuywGBvXQM+hiTDHWTXLPs+EeNPUGLzwav5fEHbKMuhpkBKIH+mot+ +/1zU0qgFVau2H39EjB396zjrtmXBNr8GmmbY0CRTA4c3q0kmxN9u60f7jFl+ydULB2oP4Rq8V2eK +xYtfJhGL1fDo5yt6nWeJjV++cUr5nyBgvp7k46lfRQgi7Tj2tPjbsKJMj3RPeRdo1+MdNmDdXpPB +CYpqVuWE7ADxomKfatt5CSSWY2rhNn44n25Id+Dd+/l/Dzn3xCMeRMXStnB0rHZoOPRheixGgoCx +8Nlr+gUbVLwlJSQJlZglRdGNGZ6N0oai9gjoGo4zGrJgKERFo/Eg6O+YrmQ2oETWL2igphkFDP/f +8f/5TB0IT14eublWq9/aCzPHtbKXjdJD/ZLVUCApao+3qWTzjgwX/AmliWkdL8nuWuHhxtVxVfCr +afGAm//2kMmvV32kNpAiJko+fqUFKFKRVzUVrl55N8UVvb+FB9WVI4D0VegzBSj3t04F0aMKtifo +Rqp5oxetugqyDw/HqTl3ddMR5ix23tI76qW/UI1mR/IVsKI4QN6w/njcxlKab+f98Jg1qFxunYbx +0dRLga7VdshMqArGO5H7HIDEruXWDixD4o/Bgpo9h5IEbMAhwuFPuUHEZDMVYznIW16coBh7BAqD +emot4f9A8eQX4WDWNvoO6ujWc0MamFnxuOk5DMtWpIGWG5SKggTayAWskNoY1q++HMW0bsd9v/U8 +/OogMlJt7lnfW6GnDWXW8vr4AgDwmi3BFLJ2gZ2D2pbChb0XNgxDJQ46l7DOkA0qKjTe8XJCfuuX +lO2pqP5YEPxJPtDDmgRcANwfSGkvbPeZH09d5lJIbOZFDMNljdvPJF7bXJFEVNYOuQoKlkD0pWGW +Hcv01TV2kF3bWXPTudat4FfcgRL9scs0mtd1V6FBPZtOOWSVx7M/SZeUh/BrQqfzgtuAfUKPLiNM +S78CxyYpn9C+RSwqN/+qEyl1yx6yDokIM6Oudeh1qY7st1mdktBPfFJXYcowqmvP4q9uMkT9LGKs +W0FNxeZ+fzeu9GIElkmxMw4R19nGqwCeaNPkmciL/ucBPvhgy1zgDepU1DonH/DCnOXYMGb3l+qJ +DZ5j+ICnDJIc51qlb0nWGQoSu8GikNNKlN38BfBtURLh93QgpZUiAbBbKmOvLqEFXmZh2j6om6OA +4eAdg+iLsf7iN9RnDCoiKG+HPta5yEQourIUML924a26soJ65FyIw0YxY7CK0DFE7VOnUZtMFodQ +hUrI0xAMhrpxmcxq6/IWJ1NRCz9oiS5PjSSb5XY5FARqrNbh6sBZ44ko9xeAfnKTbtSee4zdrs3j +ZBWObtA4xBfEeWSM8mbuk73lf0OzSVYKPWQZVsq39eIvU2+OFj8+LNkFkVY3Dck6ed6QATyinwQJ +0q1AtC0/P1c6iCRbG0ze8gSCbtXknej85keIFl29vDTVnnk4KmXwKRTYGig0K1O6GuV1d0U3qqhB +3TvkwFBJP/emP7kVBLat/xvcUnWJqC5o3m4zX8wSk6yAEFo4jmnxZ1GsxG65VRYGp/SxMDX+BP7r +lQCOVil9jSc9RtPzUC9/yRvYgsydYzcE3DZ6KFuHNos9zFSEUk8UBb18Mn/0bZncEi771yc1CDYt +1V8sIbifHW/vqU+gEjiLcG9tuO4p97o0hgWSWb1wdDCM7HRCnMZLL8nf1Sh63QLyXrBuBNUgoM51 ++xOkhvEndKkBCjqXziwz0FtEYp0/Sq9uPsUt2xWMk1xXB05lo5VNeesu3o3Tmt7QXoaUOGlddW3v +yPNhxQQfICM92/AaUF7Me3t3eEdn2vs6tApSu5V8arZT1AFaNdhCitcetlmt7bN/9O0boT67YaH6 +Qvh81KJvNXMiUhLUNFfkfnMK3al9XZUAINQnxrk1j67N9wWfMP9XrfyhVJ2x0+R5ypP8N6Lc8q43 +7G3bMrmMEtZ43vHS7AQwmwBOH+DTVBfCbirutlnVy31aMr4+uSAvJUxVPM9hlGCHA1z5knvXL8IH +FqSkaQ0pWBxmhEP415eiiu7xigYwje2x7jhUc6LpfT9xPoofIECd/LCPrwKYGuX6mwKCIShrOsQg +ZctiTjV+vvtDskl44YmmJlX1SfXL5hjgO49uEB5vwuMcFgldMkR1ol/SObRKjEJ4Pxg9sZG4cQuQ +hy3c1jMJ7QGyjDaRPW2jruMyzWF/sgpp2wUCR1bvJfmUdOsi9CrZlkHpKa2dslQ61C0dXbzE2OW9 +cIuSiJcgrRmFE5ml8ngCXkM3p1CaUvikwDDvb8Tg+5hRBfGFyHRb94GnUKxakPhV6LVegFKJP/WP +9eb0Ihx8PSDKyc34wtH7h/gH9WsOfSl2At7kmyHThmpJdpToFgwjOgjsXGrrqwYN2EU0R/w09fy/ +4ATCylovo+diJ0ScegXv6HdKEUh505qNXIGIDOHCKuhbeJGwLxD1ULsLH8Q3Bo6vxvl6++lizvqm +qjC7vNcKLbwVqB4PMdUagT6iXmUsZm1+uUo/oQHpGe/4gnFBXPQ8mZsCGqgUftsfTZHiic3/mNTf +4osXFvUjkceDINGozbFAvbvcZnfZXQ5LyrB6UnbRIjVf/VfNfBX0g0BuCbZO2K6E/IJRLNftgMps +9YJlCwfplS7kOROXrlWK59ABG3Tm4ugnasPIoU3MZvnUyTfQULeu+dSNWu1thmUaBzFqHoWlS3N8 +mrORBs0McP0L1oUulG8HF4j+xj9oUUX4UvcfUzrWLsrCCmdee3+CKVS9zLK/TZO99J5/QtkxRj3E +iT70wU+jf8GAisWYwjS2Qky+3s8zgb5b04rVQjD7OsH4z6Y7Q0hqC8dY5FhqH/NI8u93/clicE4q +qXzVxprKWrFzmcp+qUL9LtYcz3VJOSndfubTzlmyFPV0A7D6krCHZ4CQxjcm/FM0wZ501kpOKclD +TDm18GHLVhuTrtbt9CiyP/P8KVwdLXS490BiesuSENINF8LHN1bbixwQ0E5NFSVVeOAJX0rqoJsu +yOWxDDwdAzU73yTYxZM6qBBKH1Vj1ulkR1W+sMrn6GRbKxvwncjprJnthKa2/WjYUvajeBiJxrT7 +2rdXHtqq+rdr7Z3mXopV15aemsJkNo5KrjyPj3pF/7rbZ2MzrrFWIi82bsqYxoI8iZA5ENZKNwXg +/mrjCLFIocStssZMD8m8CTh1fh3ZJGHm/wpXwjdl9NA2Bi86fB3Fpoju3Uz/GnlZ4nUtP6R0oQb4 +UH9bJJu3UBEO/YrFx+3VAV0nF+sfSF4uEF3ewCZxdB5K2Bhj8hZBi/DLhSEdfoQbZLzdlLJrj1+S +yntTpy9cDSMgCLSjqFZel7LCFyO514PGkeiU6eS3J4zGO4U+eDpNYffimPBw9JNuHh9LwawuwYhn +WxkgZl/IcEN/sGZzL8LnpBDIEAF0MaqQkdXLtR5K8Bzec8J40OE4KZam4/uRtzIXTr98HCYqFq/c +OF9DkuF0Ehxs+mPHzj2pQ0kzGUgQATczBcI12owZW6VRpo1c2lZrsKgXesAId4lH/DcZLGAebEVS +Qr/MVpYsKTTcnOIuGVU2MiLvYHUP1/GD2kovkWzizy6MXstdE6YVMmnpUaCxtzAOHearX7AMtpPI +hqRKY56WxlVkWr5/w4hliMSkYrN47HTzFf8URWcEX8SWskmawdkWO7J+6W6zY1AzXua5UffOwdBR +OTfKYwKniIRk0ig1ccUC5zU5fxCC0Sw5GyBpbvCJuumYnU5jaRkozPrwuAxx9oNpe1QjYzdSzzC/ +yJ/5knfDclH1gqaMj96ulqf0PZ9U3Qgney8ZGWTNy9VTS1UbCJithEnM6oVoglKhMprbs23BoJRM +8bi31jLhQAxgdvvJvo1SRzM0+Pfs339SDrzmiPJY0a29SZmh3u79AGO86FvCGssEz//mdtThd+Rm +ls7jG/FhsPfITHlKnSxk5vmWCJZe7tbh5ReKiuOqvwPNwjynWB7suRCwfYOgczPOBfONxR7rlgqc +CJFufbOekGWIu18c75D3s0DOgugcWXbrxgM9OJCeqa/bDO6JvV1W6VUSFZAaoJGRYZtw0gy2Bjiz +dt9KVZJR9sHLwRI1xGzhbbZneZSsToiBSCQ1mhhu2OJ4Zl5jb/6wTKpfdC+HEkaEFpvjr/EaS1nD +eiKqgExG4wKYQy4rGF/y+yaoUVVOVdvFBNyEzLTr4ikGqOmuJCRPS8ObGJ6abU3MLOTRggjPdgRC +xtspj2IECCS0xEMOmL6TdXfw1Wvpp7q+iUaRttniFZzuEC/0gjBjuUOxCQ6MWqJjj0Ca34kMcKN2 +0VJlCGhqph59Vas+zIvQyC6Ye0FWnO+95TSVi0ZiSroGmMhctw4+F9vgRpEvIWSksGkUAy4tDcZ7 +RZt9Hk+THBumrKUGUYVMjGRBy7FYupuktQkSB05V0+lAbFlmFnoj/lsk7Ajv7BBukHAwkz+t9zTA +Ph3583JoK3p6km17IdjzZGczZV7R2LTLGVz6f0KP98Bmeg0oyXKLIlzxPUAjRm8lGb0oF4zQMNaT +SNDcERMkdNAOB/ceip3YpWdrZo7dHnaX+RYRJUHgUG46/Qti+yoBx9qQ0YucTrMe3j/BlX7wx+Jt +LJlpfQzFlliLpjIl68nOdPLK9A9NyHonKgDtlN8JmlOMiNH5KMPivL7wFv1XNaR3QkB08gnQ8BZY +1yE925H66mrGDOhxHOMcjlSq7/8XLMLW4Wle6NLXNozg2YXeJclDk72hoP40NJqpEYFfV6KMvz80 ++6poRP3Il+n+VSKsdGVaq2YEynDTpA1lptXknLAzU8WrcvK3OugC6JKrXnQ06o8XY77v74qmjMdK +UNB21Z/w+NgH5v1AseU7RbuXxx5sMkJdAI0TxrONGhxxPvT/gnlqq8MH2YPIvizt0+s2CHuVxOMZ +6rsL2AYsIgjoxZhN32mdSlRgjcLqkBvRZMeK+HZdRNKLP5tX01/Asxk33agAcmsMBzJ/qLoXm1u9 +w4IJ+osT4EesrQgXPqFguzH7BaSItafcNlv924sRIKllM72zjqElV8/VNrEerxyhB+P2V0KQ2hzg +oe/Xyaog8tnlooqwIreUoRMBG3s1GnFSW0ofNfSPtQq/dTslgb2s2S9yMX9br1be3Ba02A+F0XJU +krCrX2AatX1gZixYBOsjLmaO+cRmLOtRI5igw8ts4mZTp9dsQ1K+ZaphGiAHNR+uWdZ4FK/vqmYl +5IMdMTawStRlubkOve1z3ZYtDUB5ArumzQrSMY8VgNqDtR/zoxC4qsHzspnrS2QVhld+Q0CBC/Td +TnOZJhe08lW+INOz5HnxLecImfNv1lrvbFU8xzdX2ahAOo7aTOl7GxYfPtYfdRhfMR6+/P0CpZRA +Flyn2PZUyZalZqZKX7WPS7EiXeXg0N0/OHKTYfj8vSXk+GSoBelmyx8UxHuxOvOzq+yZORgL3dPW +2GLtctRy9uUaM+POTYgreAT2N7BGF15lGIZ4aFbBf854HMORxzrjDYyYBUg8w1W1d4+8DCpLDItl ++N2kiZ65q0z1BksgL+qlCKws/+mCq/KbajQi//wO8bIpEwT+2vsj/gFN9WCY6Hn77JfTEaLkhvIM +k3BuVMA3kEwO6oYHKrl+0/kXBK/GeNLWSHCVbsKKuuabbEBjxUx9YTmuwyv+I+g+fAkM4vZ8+ehv +ZyTs9UlLXbcHsrMcfpRh7kS4N+D2EMlkns+7+p1qCxSv83iQ4GSn9grgEi7aAkMURhpFQ9J8vqqo +d7rHyScH1DRD9UsiaslmZ6NpsXbWGh5Lk0/qNA+hFQkC3+TSjqA5xiJbpu/9s+73XJ/mREPdD3KR +9DDguGwxxHs26r6NPKMWF5WQZEXhnRJkjg+0RB+vmm2VmqbuPKvtAbIS73Jq/QtDhPw/GPrMQfRu +bGZovkoe+KEE9Suz3j42sF36oSS6VnV4k2H1lxdSSa16Ydy7ilAhIDuy854mArQ07Jeno/AD/FQ9 +hz/dJVflD0DkI+mFyjUtxR9dNZfV98+OLF1HuBMicTkRsKdzqKJlmWSojAvzZzLp9Il7tnNqrWlN +jv3jFUtDTPmOx32AT05jPLHfn9mPTEicjSdoMfjgtK1Tu/bgnzrkWXAIee5r7lv7AQ9ulxHP8aES +9BeUHYj4z/T9Tq0Aes2WXymrVAobTN9UUBt49YsJrRINUaMGlX7yjP5fU9BQVz50rsYOotEA8MSr +jarVnJtign3kVNhj2MYAMJdDrQ/w6ASIj0QXMAT5Coigst3FX7KMZmSjyw2JWDouax6o1zhV4wH3 +FZCi+TwSVOPrnHYKVTCb9qr6pTmCmrnN7A528wxi5gTOXw2UkbffTA6ZGEnuVUy9ABNgwhVUR+HH +0yL8g2wBzeN+/aT7UABgo0aNn0kCU0QyEOdo5/C0eopWJYttN1L3j9PSymnZYzEVYKeRnKaBoDNv +1pCsrQMKuXREFRt2O5I94bzeB0KwUk0VKK0ej1Q32eoIUUyOpBHRG6vfDN8vGGUNiXSTW9cmTQLQ +QgVMVBKlZskHcCDy4Cm8IF4YWCt0rieHFIFrLSVizdTe8q5Jm4tfdSUMDx4s0S5VB52k9I5sv8MU +ptDiSZoAjF/v1ieRv7hLGmSmsYcqsW2YnWVdBGiZ81nNq8VGedT5vxGN9cfm4qlVlme5ufMWqlpo +cXm0E8acc+QzWFw7WvgmrLzS+CsvZmiYIw5S7GLD0MnwPQ48Syd0M2Ar2Za3jDWRbxFM01Q0KpX9 +YZG846qHA0D3NQstpkDd66c9/YXTwnrt1WJqK/aeLX/OhaweoD3ps/sR23mQMf2q3VHIzmF0qV/K +QNChtkdOZ3Xx9jtMRfrbNfheIuxC/meRMY6rKDzcktsL3Hc9s3YAXO/qJsryd5PgdLb+UfmQVW+Q +EAGTPaBXsUZ2fNfJMS7H3MRIJbe24V8kEymRkWRSy1JVkyk6Vj1ll0OdBwic10pjZamdeFpv0Pjh +fslmgySWqH1rOiYXZc8+s/OaUQCQ2BP186f3GwSPaOion+BCfBUhD/JAf4IeCX+faw2d4/DS7w8s +RxhGEbrBDdUY8M/GGmpfnb3jFe8MMqSQNihazKNwaRf8FpZERfnGbuWZOYjwLiGwZ5SlVIhM/lCX +/Pt2NBzSkCajfifPt6RjQ9Iez29Dpy/cFsBgegGONjl3iZCKo9CqGBHSSbhj57MhDWFGClGl3a3J +ULfoKsnP0FZGg1r2LTlXxub6a3yknN14+rXFCAepCd4Mvmbk9gvN3/QxhipyZfgpaJPpGs2sShGB +fzOWhJSckgW/ae9u04B0LWEXOjO8BWiedgpmat90jJ0BqI+kcH+P5kL1QgY0sCRAWlLbSKz/sl+L +7k6YuPPwDOePUOovgZTxYBhUSifvoqlEgbGpPkewXCWQcs2vLUijr8d8fcVMnM4TFD0t/77DD1gw +oVX8p6usr7bGRKiUV11nyeaSgBfKfDoep3M+XmSBtDm8pudIMbVDKqapDavrfd2DURReOU/j8sIX +zGgXARj/Awum0e8oSbZRn9/j +`pragma protect end_protected diff --git a/impl/gwsynthesis/cpu_syn.rpt.html b/impl/gwsynthesis/cpu_syn.rpt.html new file mode 100644 index 0000000..1109536 --- /dev/null +++ b/impl/gwsynthesis/cpu_syn.rpt.html @@ -0,0 +1,1691 @@ + + + +synthesis Report + + + +
+ +
+

Synthesis Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleGowinSynthesis Report
Design FileC:\Users\kuroc\Downloads\cpu\src\memory.v
+C:\Users\kuroc\Downloads\cpu\src\top.v
+C:\Users\kuroc\Downloads\cpu\src\uart.v
+C:\Users\kuroc\Downloads\cpu\src\core.v
+
GowinSynthesis Constraints File---
VersionGowinSynthesis V1.9.8.09 Education
Part NumberGW2A-LV18PG256C8/I7
DeviceGW2A-18C
Created TimeThu May 18 11:35:32 2023 +
Legal AnnouncementCopyright (C)2014-2022 Gowin Semiconductor Corporation. ALL rights reserved.
+

Synthesis Details

+ + + + + + + + + + + + + +
Top Level ModuleTOP
Synthesis ProcessRunning parser:
    CPU time = 0h 0m 0.14s, Elapsed time = 0h 0m 0.157s, Peak memory usage = 317.363MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.011s, Peak memory usage = 317.363MB
    Optimizing Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.009s, Peak memory usage = 317.363MB
    Optimizing Phase 2: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.022s, Peak memory usage = 317.363MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0.75s, Elapsed time = 0h 0m 0.785s, Peak memory usage = 317.363MB
    Inferring Phase 1: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 317.363MB
    Inferring Phase 2: CPU time = 0h 0m 0.312s, Elapsed time = 0h 0m 0.321s, Peak memory usage = 317.363MB
    Inferring Phase 3: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.097s, Peak memory usage = 317.363MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 4s, Elapsed time = 0h 0m 4s, Peak memory usage = 317.363MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.066s, Peak memory usage = 317.363MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.045s, Peak memory usage = 317.363MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 8s, Elapsed time = 0h 0m 8s, Peak memory usage = 317.363MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.171s, Elapsed time = 0h 0m 0.183s, Peak memory usage = 317.363MB
Generate output files:
    CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.057s, Peak memory usage = 317.363MB
Total Time and Memory UsageCPU time = 0h 0m 14s, Elapsed time = 0h 0m 14s, Peak memory usage = 317.363MB
+

Resource

+

Resource Usage Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsage
I/O Port 3
I/O Buf 3
    IBUF1
    OBUF2
Register 300
    DFF11
    DFFE252
    DFFSE5
    DFFR32
LUT 991
    LUT2156
    LUT3295
    LUT4540
ALU 38
    ALU38
INV 3
    INV3
+

Resource Utilization Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic1032(994 LUTs, 38 ALUs) / 207365%
Register300 / 161732%
  --Register as Latch0 / 161730%
  --Register as FF300 / 161732%
BSRAM0 / 460%
+

Timing

+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + + +
Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObject
clockBase10.000100.00.0005.000 clock_ibuf/I
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + +
No.Clock NameConstraintActual FmaxLogic LevelEntity
1clock100.0(MHz)155.5(MHz)8TOP
+

Detail Timing Paths Information

+

Path 1

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.568
Data Arrival Time7.260
Data Required Time10.828
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_8_s0
Launch Clkclock[R]
Latch Clkclock[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 clock
0.0000.000tCLRR1clock_ibuf/I
0.6830.683tINSRR300clock_ibuf/O
0.8630.180tNETRR1core0/reg_iaddr_5_s0/CLK
1.0950.232tC2QRF58core0/reg_iaddr_5_s0/Q
1.3320.237tNETFF1mem0/mem_RAMOUT_1023_G[0]_s27/I1
1.8870.555tINSFF2mem0/mem_RAMOUT_1023_G[0]_s27/F
2.1240.237tNETFF1mem0/mem_RAMOUT_511_G[9]_s8863/I1
2.6790.555tINSFF24mem0/mem_RAMOUT_511_G[9]_s8863/F
2.9160.237tNETFF1mem0/mem_RAMOUT_511_G[9]_s8870/I1
3.4710.555tINSFF10mem0/mem_RAMOUT_511_G[9]_s8870/F
3.7080.237tNETFF1mem0/mem_RAMOUT_511_G[9]_s8751/I3
4.0790.371tINSFF1mem0/mem_RAMOUT_511_G[9]_s8751/F
4.3160.237tNETFF1mem0/mem_RAMOUT_511_G[9]_s8704/I1
4.8710.555tINSFF1mem0/mem_RAMOUT_511_G[9]_s8704/F
5.1080.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s578/I1
5.6620.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s578/F
5.8990.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s569/I1
6.0030.103tINSFF1mem0/mem_RAMOUT_0_G[0]_s569/O
6.2400.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s565/I0
6.3430.103tINSFF1mem0/mem_RAMOUT_0_G[0]_s565/O
6.5800.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s563/I0
6.6830.103tINSFF1mem0/mem_RAMOUT_0_G[0]_s563/O
6.9200.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s4/I0
7.0230.103tINSFF1mem0/mem_RAMOUT_0_G[0]_s4/O
7.2600.237tNETFF1core0/reg_inst_8_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
10.0000.000 clock
10.0000.000tCLRR1clock_ibuf/I
10.6820.683tINSRR300clock_ibuf/O
10.8630.180tNETRR1core0/reg_inst_8_s0/CLK
10.828-0.035tSu 1core0/reg_inst_8_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:10.000
Logic Level:8
Arrival Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
Arrival Data Path Delay: cell: 3.558, 55.620%; route: 2.607, 40.753%; tC2Q: 0.232, 3.627%
Required Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
+
+

Path 2

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.092
Data Arrival Time6.735
Data Required Time10.828
Fromcore0/reg_iaddr_1_s0
Tocore0/reg_inst_0_s0
Launch Clkclock[R]
Latch Clkclock[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 clock
0.0000.000tCLRR1clock_ibuf/I
0.6830.683tINSRR300clock_ibuf/O
0.8630.180tNETRR1core0/reg_iaddr_1_s0/CLK
1.0950.232tC2QRF26core0/reg_iaddr_1_s0/Q
1.3320.237tNETFF1mem0/mem_RAMOUT_1023_G[0]_s21/I1
1.8870.555tINSFF10mem0/mem_RAMOUT_1023_G[0]_s21/F
2.1240.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s485/I0
2.6410.517tINSFF9mem0/mem_RAMOUT_0_G[0]_s485/F
2.8780.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s191/I1
3.4330.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s191/F
3.6700.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s526/I1
4.2250.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s526/F
4.4620.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s33/I1
5.0160.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s33/F
5.2530.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s13/I2
5.7070.453tINSFF2mem0/mem_RAMOUT_0_G[0]_s13/F
5.9440.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s6/I1
6.4980.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s6/F
6.7350.237tNETFF1core0/reg_inst_0_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
10.0000.000 clock
10.0000.000tCLRR1clock_ibuf/I
10.6820.683tINSRR300clock_ibuf/O
10.8630.180tNETRR1core0/reg_inst_0_s0/CLK
10.828-0.035tSu 1core0/reg_inst_0_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:10.000
Logic Level:8
Arrival Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
Arrival Data Path Delay: cell: 3.745, 63.767%; route: 1.896, 32.283%; tC2Q: 0.232, 3.950%
Required Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
+
+

Path 3

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.092
Data Arrival Time6.735
Data Required Time10.828
Fromcore0/reg_iaddr_1_s0
Tocore0/reg_inst_6_s0
Launch Clkclock[R]
Latch Clkclock[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 clock
0.0000.000tCLRR1clock_ibuf/I
0.6830.683tINSRR300clock_ibuf/O
0.8630.180tNETRR1core0/reg_iaddr_1_s0/CLK
1.0950.232tC2QRF26core0/reg_iaddr_1_s0/Q
1.3320.237tNETFF1mem0/mem_RAMOUT_1023_G[0]_s21/I1
1.8870.555tINSFF10mem0/mem_RAMOUT_1023_G[0]_s21/F
2.1240.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s485/I0
2.6410.517tINSFF9mem0/mem_RAMOUT_0_G[0]_s485/F
2.8780.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s191/I1
3.4330.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s191/F
3.6700.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s526/I1
4.2250.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s526/F
4.4620.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s33/I1
5.0160.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s33/F
5.2530.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s13/I2
5.7070.453tINSFF2mem0/mem_RAMOUT_0_G[0]_s13/F
5.9440.237tNETFF1mem0/mem_RAMOUT_6138_G[0]_s5/I1
6.4980.555tINSFF1mem0/mem_RAMOUT_6138_G[0]_s5/F
6.7350.237tNETFF1core0/reg_inst_6_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
10.0000.000 clock
10.0000.000tCLRR1clock_ibuf/I
10.6820.683tINSRR300clock_ibuf/O
10.8630.180tNETRR1core0/reg_inst_6_s0/CLK
10.828-0.035tSu 1core0/reg_inst_6_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:10.000
Logic Level:8
Arrival Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
Arrival Data Path Delay: cell: 3.745, 63.767%; route: 1.896, 32.283%; tC2Q: 0.232, 3.950%
Required Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
+
+

Path 4

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.092
Data Arrival Time6.735
Data Required Time10.828
Fromcore0/reg_iaddr_1_s0
Tocore0/reg_inst_16_s0
Launch Clkclock[R]
Latch Clkclock[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 clock
0.0000.000tCLRR1clock_ibuf/I
0.6830.683tINSRR300clock_ibuf/O
0.8630.180tNETRR1core0/reg_iaddr_1_s0/CLK
1.0950.232tC2QRF26core0/reg_iaddr_1_s0/Q
1.3320.237tNETFF1mem0/mem_RAMOUT_1023_G[0]_s21/I1
1.8870.555tINSFF10mem0/mem_RAMOUT_1023_G[0]_s21/F
2.1240.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s485/I0
2.6410.517tINSFF9mem0/mem_RAMOUT_0_G[0]_s485/F
2.8780.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s191/I1
3.4330.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s191/F
3.6700.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s526/I1
4.2250.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s526/F
4.4620.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s44/I1
5.0160.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s44/F
5.2530.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s18/I1
5.8080.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s18/F
6.0450.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s7/I2
6.4980.453tINSFF1mem0/mem_RAMOUT_0_G[0]_s7/F
6.7350.237tNETFF1core0/reg_inst_16_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
10.0000.000 clock
10.0000.000tCLRR1clock_ibuf/I
10.6820.683tINSRR300clock_ibuf/O
10.8630.180tNETRR1core0/reg_inst_16_s0/CLK
10.828-0.035tSu 1core0/reg_inst_16_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:10.000
Logic Level:8
Arrival Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
Arrival Data Path Delay: cell: 3.745, 63.767%; route: 1.896, 32.283%; tC2Q: 0.232, 3.950%
Required Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
+
+

Path 5

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.092
Data Arrival Time6.735
Data Required Time10.828
Fromcore0/reg_iaddr_1_s0
Tocore0/reg_inst_22_s0
Launch Clkclock[R]
Latch Clkclock[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 clock
0.0000.000tCLRR1clock_ibuf/I
0.6830.683tINSRR300clock_ibuf/O
0.8630.180tNETRR1core0/reg_iaddr_1_s0/CLK
1.0950.232tC2QRF26core0/reg_iaddr_1_s0/Q
1.3320.237tNETFF1mem0/mem_RAMOUT_1023_G[0]_s21/I1
1.8870.555tINSFF10mem0/mem_RAMOUT_1023_G[0]_s21/F
2.1240.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s485/I0
2.6410.517tINSFF9mem0/mem_RAMOUT_0_G[0]_s485/F
2.8780.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s191/I1
3.4330.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s191/F
3.6700.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s526/I1
4.2250.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s526/F
4.4620.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s44/I1
5.0160.555tINSFF1mem0/mem_RAMOUT_0_G[0]_s44/F
5.2530.237tNETFF1mem0/mem_RAMOUT_0_G[0]_s18/I1
5.8080.555tINSFF2mem0/mem_RAMOUT_0_G[0]_s18/F
6.0450.237tNETFF1mem0/mem_RAMOUT_6138_G[0]_s6/I2
6.4980.453tINSFF1mem0/mem_RAMOUT_6138_G[0]_s6/F
6.7350.237tNETFF1core0/reg_inst_22_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
10.0000.000 clock
10.0000.000tCLRR1clock_ibuf/I
10.6820.683tINSRR300clock_ibuf/O
10.8630.180tNETRR1core0/reg_inst_22_s0/CLK
10.828-0.035tSu 1core0/reg_inst_22_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:10.000
Logic Level:8
Arrival Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
Arrival Data Path Delay: cell: 3.745, 63.767%; route: 1.896, 32.283%; tC2Q: 0.232, 3.950%
Required Clock Path Delay: cell: 0.683, 79.130%; route: 0.180, 20.870%
+
+
+
+ + diff --git a/impl/gwsynthesis/cpu_syn_resource.html b/impl/gwsynthesis/cpu_syn_resource.html new file mode 100644 index 0000000..ceef6a0 --- /dev/null +++ b/impl/gwsynthesis/cpu_syn_resource.html @@ -0,0 +1,71 @@ + + + +Hierarchy Module Resource + + + +
+
+

Hierarchy Module Resource

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
MODULE NAMEREG NUMBERALU NUMBERLUT NUMBERDSP NUMBERBSRAM NUMBERSSRAM NUMBER
TOP (C:/Users/kuroc/Downloads/cpu/src/top.v)------
    |--uart0 + (C:/Users/kuroc/Downloads/cpu/src/top.v)523136---
    |--mem0 + (C:/Users/kuroc/Downloads/cpu/src/top.v)156-931---
    |--core0 + (C:/Users/kuroc/Downloads/cpu/src/top.v)92727---
+
+
+ + diff --git a/impl/gwsynthesis/cpu_syn_rsc.xml b/impl/gwsynthesis/cpu_syn_rsc.xml new file mode 100644 index 0000000..8954d48 --- /dev/null +++ b/impl/gwsynthesis/cpu_syn_rsc.xml @@ -0,0 +1,6 @@ + + + + + + diff --git a/impl/pnr/cmd.do b/impl/pnr/cmd.do new file mode 100644 index 0000000..bc197d9 --- /dev/null +++ b/impl/pnr/cmd.do @@ -0,0 +1,11 @@ +-d C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg +-p GW2A-18C-PBGA256-8 +-pn GW2A-LV18PG256C8/I7 +-cst C:\Users\kuroc\Downloads\cpu\src\cpu.cst +-cfg C:\Users\kuroc\Downloads\cpu\impl\pnr\device.cfg +-bit +-tr +-ph +-timing +-cst_error +-route_maxfan 23 diff --git a/impl/pnr/cpu.bin b/impl/pnr/cpu.bin new file mode 100644 index 0000000..d914902 Binary files /dev/null and b/impl/pnr/cpu.bin differ diff --git a/impl/pnr/cpu.binx b/impl/pnr/cpu.binx new file mode 100644 index 0000000..3b37c0a Binary files /dev/null and b/impl/pnr/cpu.binx differ diff --git a/impl/pnr/cpu.db b/impl/pnr/cpu.db new file mode 100644 index 0000000..e8a4280 Binary files /dev/null and b/impl/pnr/cpu.db differ diff --git a/impl/pnr/cpu.fs b/impl/pnr/cpu.fs new file mode 100644 index 0000000..b8a9662 --- /dev/null +++ b/impl/pnr/cpu.fs @@ -0,0 +1,1376 @@ +//Copyright (C)2014-2022 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Bitstream file +//GOWIN Version: V1.9.8.09 Education +//Part Number: GW2A-LV18PG256C8/I7 +//Device-package: GW2A-18C-PBGA256 +//BackgroundProgramming: OFF +//CheckSum: 0x3110 +//UserCode: 0x00003110 +//SPIAddr: 0x00000000 +//LoadingRate: 2.500MHz +//CRCCheck: ON +//Compress: OFF +//Encryption: OFF +//SecurityBit: ON +//SecureMode: OFF +//JTAGAsRegularIO: OFF +//Created Time: Thu May 18 11:35:36 2023 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 +1010010111000011 +0000011000000000000000000000000000000000000000000000100000011011 +0001000000000000000000000000000000000000000000000000000000000000 +0101000100000000111111111111111111111111111111111111111111111111 +00001011000000000000000000000000 +1101001000000000111111111111111100000000000000000000000000000000 +00010010000000000000000000000000 +00111011100000000000010100111110 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000011001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111001010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100101111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110010101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010110010001000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011101011011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001101111101111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110011100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011011100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111101000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001100100010111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001010001111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011110011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100001011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100110100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000110001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001011001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111011110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111000101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100101001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111010001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010100010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111001010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110111110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000001100000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000011110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010011000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011111010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010110000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000001000000000000000000000000110100000000000000000000000000000000000010011100110011100100010000001000011000000010001000011001111011110111111000111100000000000100000000010000001000011000011011111110011110111100000000001000011000010000001000011000011000000000000000000000010000100000000000110000000110100000000011000000000000111100000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000001100100000000000000000000000000000000000000000000000000010000000110000100000001000001001100010000000000000000000001100011000000001100000000010001010100100100000000000000000011111011011111011001111011110110011110111100000000000000000011111011001100011001100001100101001100111111111011011111011011110011011111011000000001100010001100111111111011011011011011111011111111011000000001100101001100111111111011011111011011111011011111011000000010101011001100101111111011111111011011111011011111010100000011000010000000001111111011011111011011111011000000000000000011110111111000110000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100010000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000001000100101000100001000000101000000100000000010000000000000000000000000010000000000000000010010000000000000000000000000000000100101000100000000000000000000000000000100000000000000000000000000000000001000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010001000000000000100100000000000000000000000000000000000000000000000100000000000000001000000100000000000000000000000000000000000000001010110001010110110000000000000000000000000000000000000000000010000100000001000000000000000000000001000000010000000000000000000001000000100100000000000000000000000010000000000000000010000100000001000000000000000000000000000000000000000000000000000001000000100100001000000000000000000000000000000100000001100000000001100000000000000000000000000000000000000000000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110100001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000100000000000000000000000000000000000100010000100010010000000000000100000000000000000000000001010000100100000100100000000000000000010000000000000100000000000100001001000101000000000000000100010000000000000000000000000000000000000000000100000000000000010000000010000000100000000000000000001010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000100000000100000000010000000000000000100000000000000000000111000000111000010001001000001001000001000000000000000000000111000000000100010001000000010000011010000111000000111000000111000000101000000000000010000000011010000111000010001000000111000000111000000000000000010000011010000111000000111000000111000000111000000000000010100000010000100111000000111000000111000000111000000000000000000000000000000111000000111000000111000000000000000000010000100100000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010000011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000001001010001000100001000100000000000000000000000000000001000101000001100000110001000100000000000001000100000000000000000001000000000000000000000000000000000000000000100000000100000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000000010001000100000000000000000000001010000000000000000000000000000010100000010100001000000000000000000010000000000000000000000010100010001000100000000010000000010100000010100000010100001000100000010100000000000010000000010000000010100000010100000010001000010100000000000010000000010100000010100000010100000010100000010100000000000000000000010000000010001000010100000010100000010000000000000000000100000000000010100000010100000010100000000000000000010001000100001000100000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110010110001000001000000000011111000000000000000000000000000100000000000000000000000000111100000000000000000000001000000100000010001100001000000000011111000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011000000000000001000001000000000000000000000110000000001000000110010000010001100000000000000000000000000110000000100100000100010000111100000001000011000000001000000110001001000100001110010000010000000001101111000000001000000110001001000100001110010000011100000001101111000000001000000110001001000100001110010000010000000001101111000000001000000110001000000100001000010000001111001010001111000000001000000110001000111100001000010001011000100101101111000000000000000100000000000000000000000000011000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000100001000000010000100110000000000000000000000000010000000000000000000000010010100000000000000000000000000000010001000010100000000000010001101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001000000000000000100100000000000000000000000110000000000010010000000100001010000000000000000000000000000110000000000000000000000000100000000000000100000000000000000110000001000000010110000000001000001100001110000000000000000110000000000000010110000000101100001100000001000000000000000110000001000000010100000000001000001100001011000000000000000110000000000000000100000000100100100000000100000000000000000110000000000000000100000000010000000100100100000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011100101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000001100000000000000000000000000000000001000000000000001000000000000000000000010000000000000000000000001000000000001000000000000000000000001000000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000001000000000000000000001010000000000000000000000000000000000001000000000000000010001010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101101011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100010000000000001001000000000010000000000000000000100000000000000000000000000000000000000010000000000000001000100000000000000000000000000000110000000010000000000000001000100000000000000000000000000000000000000010000000000010000000100000000000000000000000010000001000000010000000000010000000000000000000000000010000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100110111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100100000010010000000000000000000000110011000111100000000011000100101100000001110000000000000000000000100000000000000011000000000000000000000000000001000000110111100000000000000000000001101100100001110000000000000000000001000000000000000000000000000010010000000000000000000000000000000000000000000000011000000010010000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000011100100100110001100001011000000010011011001111100010010011011101111111001001110011011000000000010000000000000000000110001100000000000000000001111000110100000111100001100000001111001100000000110000000011010000110100000111100001100000001111001100000000110000000001111000110100000111100001110010001111001100000011001000000000000000110100000111100001100100001111001100000000110000000011111000110000000111100001100000000110001100100100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010010010000100000000000010000000000100000000000000000000000000000000000001000000000000000000000000000000000001000100000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000011000000000001000001000100100100100000000010000010000000000000000000000000000000000000000000000000100100000000000000000001001000100000000000010001000000010000100100000000000000000000000000100000000000010001000000010000100100000000000000000001001000100000000000010001000000010000100000000000000000000000000000100000000000010001000000010000000000000000000000000001010000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000010000000001000000000000000000000000001000000001000000000000000001001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000110000000010010000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000001000000001001000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000010000000000000000001000001000000000000000000000001000001001000100000000000000000000000000000010000000000000000000000000000000000000000000000110010000000000100000000000000010000000000100100000000000000110010000000000100000000000000010000000000000000000000000000110010000100000100000000000001000000000000000000000000000000110010010000000100100000000000000000000000100000001100000000110000000000010000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110011110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000001000010010000000000100100000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010100100010101001000000000000000000000000000000000000000000010100100010000001000000100000000010000000000000000000000100000000000000000000000000000000000000000000010000000000001010000000000000001000000000100000000000000000010000000000001010000000000000001000000000000000000000000000010000000100001010001000000000000000000000000000000000000000010000000000001010000100000000011000000000000000000000000000010000000000000100000010100010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110011110000000011000111100000000011000000111100000000110000000011110000000000000000000000000000000000000000000000000011110011110000000100100011000000000011000000000010010000110010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010010000000000000000010010000000010000000000000000000000000010010000000000000000000000000000000000000010000000100000100000000001000000000000000110000000010010011110001101111100011100000001100000100100100110010111000000011110000000111100011000000001111000000000000110100000011110011110000110111100011100000001111000000001100110100110011110011110001010111100011100010001111000001001100110100110011110011110000110111100011100000001111000000001100110100110001110001110000110111100011100010001111000000001111110000110011110000000010111111100011100000000110000001011100110001010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000010100000000100000000000000010000000000000000000001000000000000000000000000000000000000000000000000000101000001000000000101000000000010001000000000000000000101000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001000000010000010000000010000000000100000100000000000000001000000000010000010000100000000000000000100000000000001000001000000000010000010000001000000000001000100000000000001000001000001000010000010100001000000000000000100000000000001000001000000000010000010000001000000000001000100000000000001000001000000000010000010100001000000000000001011000000000001000000001000000010000010000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101100000000001001000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000001000100101100000010000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000001000000000000000000000000000100000000000000000000000000000000000000000000000000100001000000000010000000000000010000000000100000101100010000110010000000001000000010100000010000000000000000101100000000110000000000001000000000000000000000000000101100101100000000110000000000000010000000000000000000000000101100101101000000110000000000000010000000000000000000001000101100101100000000110000000000000010000000000000000000000000000100000100001000110000000000000010000001000000100000001000101100000000000000110000000000010000000000000000000000100000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101001010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000100000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000001000000010000100000000000000000000000001100000000000000000000000000010000100000001010000000000000000000000000000000000000101000011000100000001010000001000000000100100000000000000000000000011000100000001010000000001000000000001000000000000000101000011000100000001010000001000000000100100000010000010100000000011000100000001010000000001000000100000000000000000100000000011000100000000100000001000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001001011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000111100110000000001111000000111100000001110000000001100000000111100011000000000000000000000000000000010000000000100000011111100111100000000001000000000100000000010000000000000000000010000000000000000000000000000000100000000000000000000000000011000000000000000000100100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100000000000000000100000000100000000011110011111111100011101111011111000000011000000000100000000011110000010111100011100000000010000000000000000000000000000011110001111111100011101110001111001100000000000000000000000011110001111111100111101111001111001100000001100000000000000011110001111111100011111111001111001100000000000000000000000010110001111111100111100110001111001100000000000000000000000011110000110000000000110110000110001100000000000000000000000000000000000111100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101011100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000011000000000001000000000000010000000000000000000001000000000011000000000000000000000000000000000000000000000010100000000010000010000100000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000010000000000001100010000010000110000001000000001000000000000000000000000001000000000010000010000000000000000000000000000000000000000001010000000010000110001001000100100000000000000000000000000001010000000010000010000001000100100000000001000000000000000001010000000010000110000001000100100000000000000000000000000000010000000010000010000001000100100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110100000000010010000000000000000001001000000000000000000000110000000000000000000000000000000000001000000000000000001000110110100000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000101100010000110000000001001000000000000000000000000000000000101100001000110000000000000000000000000000000000000000000000101100100000110000000000010010000000000000000000000000000000101100100000110001000000010010000000000000000000000000000000101100100000110000000100010010000000000000000000000000000000101000100000110001000001100011000000000000000000000000000000101110000000000000000100000001000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000100110000001000000000001000000000000010010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000101000001000000000010000000100000000000000000000000000011000100000010000000000000000000000000000000000000001010000010000101100000100000000000000000000000000000000000001010000010100101010000100000000000001000000000000000000000001010000010000101100000100000000000000000000000000000001000001010000010100100000100000000000000000000000000000000000000000100000000001001100100000011000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011101100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101010100000100000010000110101011111111100001000000000000000000000000000000000000000000000001111111100001000000000000000101010100000100000010000001111111111111100001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000000000001000000011111111111111110000100000010000101101111111111100001000000000000000111111110000100000010000111100001111110010000000000011111110111111001000000000000001001111111111110010000000001011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111111110000000001000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011110000100000010000110101010000001100001000000000000000000000000000000000000000000000000000001100001000000000000000101110110000100000010000001111110000010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000010111001111101110000100000010000101101111011111100001000000000000000101110110000100000010000111100001111110010001000000011111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111110010001000000001011111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111111110001000000000000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000010111111111101000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000001000010101011111101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010101011010100000010000000010000000111100110001000000001000110111111110110100010000000000000000000000000001000000001000000000001111110010010000000011111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111010110110010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000010111111111101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111101000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011010111000000000000011111111111100110000000000000000111111111110111000000000000000000000000000000000000000000000000000001111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111010111111001000000000000001001111111111110010000000000011110111111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010001100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000010001100110011010101000011000110000000100001001000011001111000100100101100000100000110001100001000110010001010011001111000110111111110011100100110000110000001100010001000011001111011000111011110001100000000000100000001110000001000011001111010110000110010001000110000001100011101110011000100111100010011000001111110000000000000000110000000110000000110000000000001000001100100011100000000000000001100000000000100000001100010010110110010101100110000001100011000000000001100010000011010111101111110111100100001000000000000000001101010011001111010010010110010100100010000001000000000110000001100011001011011110111111100111000000000000000000000000000001100011000000011110111111110111100000000000000000000000000001100011100000000000001100110110100110001100000001100100001000100001000000000110110000000000000110001100000000000000001100110000000000000000000000000000000000000000000001100100001000000000000000000110000011000110000000001100000000000110001000000001000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000001000000000000000000000010000000000100101000100000001000000001000000000000000000000000000001000000101000100000000010000010010010000000000000000010000001000100101000100000010000010011000000000000010000000000000000000100101000100010010000100010100000000000000000010000100101000000010000000000011000000110000000000000010000000000000000010000000000000000000000100000010000000000000000000100000000000000000000000000010000100011000000000000010000000000000000000000000000001000000000101000010000000000000000000000000010000000000000001000010010000010010000000000000000000000000000000000000000100001000000101000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000100100000000000000100000010000000100100000100100000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000100100000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101001110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000100001000000000000000000000000010001000000010000000000000000000100000100010000000010000000010001001000001001000000000000000101000000000000000000000000000010000000001001000000000000000000000000010000000000000000011010001000000010000000010000000000000000010000000000000000100000000000000010000000000000000010000000010000000010000000000000000000100000010000000000000000000000000000000000000000010001001001010001000001010000000000000000000000000000000000000000010000010010000100100000100000000000000000000000100000001001001000000001000001010000000000000000010000000000000100000010001000000100010100100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000100010000000110000000000000000000000000000000000000000010000000100000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000001000100100000000000001000000000001000000100000000110000000000010010001000000100000000001000001000000100011001100011000000010000100000001000000000000000001001001000011001000001000000000000000000001100000000000000000000000000000000000000000100000010001100100100000000100110001000000001100000100000000000000000000000000100000000000000000000000001000000000001100000000000000100000000000000100000000000000000000000000100000100000001000011000000000010001000100010000100001001100010010000000000000000000000001001000011000001000000000100000000000000000001000000000100000010001000101000001100011001000010000000000000000000000000000001100011000000001100011001100011000000000000000000000000000001100010000000000000000010000000110000000000000000010000001000100001000000010000010000000000000100001000000000000000001000100000000000000000000000000000000000000000000000000100001000000000000000010000000000001010000000000000000000000100001000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110001111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000110000000001110010000111101110110000000000000001000000110010110001000001110110100111110000000000000000000000000000110000000000000001000000000111100000000000000000000000000000110000000000000001001001000101101110001100000000000001000000100000110001000001111011000011100100001100000000000000000000000000000000000001101111000011100110000000000000000000000000010000000000000000000010000000000110110000000000000001000000110000010001000101100001000001000000000000000000000000000000110000000001000001000000001001010001100100000000000000000000110000000000000001000000000001110000001000000000000000000000110000000000000000001001000001011000011100000000000000000000100000000000000000000000000011000000000000000000000000000000000000000000000000110000000001111000000000000000000000000000000000000000000000110000000001111110110000000000000000000000000000000100100000110000000001100110001100000000000000000000000000000000000000000000000000011110111100000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000001000000001010000110000001000000000000000000000110010000000100011000000010000101000000000000000000000000000110000000000000000000000010000100000000000000000000000000000110000000000000000000000010000110000110000000000000000000000010001000000100010100000110000110000110000000000000000000000000000000000000000011001010000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000110010000000000001000100000000000000000000000000000000000000110000000000010000000000000000000001000000000000000000000000110000000000000001000000000100000010000000000000000000000000110000000000000000000000000000101000010000000000000000000000010000000000000000000000000010100000000000000000000000000000000000000000001000000000010000001000000000000000000000000000000000000000001000000000010000001000000000000000000000000000000000000000001000000000000100000000000100000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011100011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100010000000100000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001100001001000000000000000000000000000000000100000000000010100000000000000000000000000000000000000000000000000000000000010101000110000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000110000010000000000010000100000000000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001001000000000000101000000000000000000010000000000000000000000000000100000100000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000010000000000000001000000000000000000010000000000000000000000000001000000100000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000100010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000001100010000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000001100000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000000011011000110100110000100110100000001111000100011100000001110000000000100000110011000101100000001101000000101100000001110000000000000001111101100000010010001101000000111000000001110000000001000001111100100000001111000001001000111100010001110000000000000001110111100001101101001111001100111100010011110001011000000001000001000111100100000010011000111110000001100011011000000000001000000111101000001111011000011010010001100000011000000001111001110001000000000111011100110010100000111001011001000000011000000111000000001110111100010100000000101011011000100001110000000011001101101111001010011100110000111011011001101001111000000011000000001111001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111100000000110000000000000000001110000000000000000000000000111100000000010000000000000000000000000000000000001111000000000000000000100000000000100000001111000000000000001111000000111100000001111000000111100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001010101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000010001000000000010000000000000000000000000000000010000100000100010000000000000000000000000000000000001000000000000000000100000000000000010000000000000000000001001000000000000000000001000000001000001000000000000000000000000010000010011000000100000000000101101000000000000010100000000000000000000110000000000001000000010000000010000000000000010000000000000010001100000001001000000000000000000000100000100000010000000000000100010011000000010010100000000000000001100000000001000000000000010101000000010000100000000000000000000000000001000000000001101000001000001010100000000000000000001001000001001000000100000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000010000000100000000000000000100000000000000010000001001000000010010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000000100000000000000000000100000000010010000000000000000001001000000000000000001000000001100000000100000001000000000001001000000000000010010010000000000110010010000000001100000011001000000000000000011010000000000010000000000000110000000000101000000000000000011000100000010000000011100000100000000001000000000000000001000100000001010000000000100000000001000001000000100000000000000000000000000000001000100000000001001001000000000000000001000000000000100000000000001000000001000010000001000000000000000000000000000000000011010000000000000000000000100000000101001000001000000000000010000001000000000000000000100000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001100000000001000000000000000001001000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000100000001100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000100001000000000000000101010000000100000000000000001000001000010000000000000000000000100010000001000000000000000000100011000000000000000000000000000000000000000000000000000100000001000000001000000000000010010000000100000000000000000000000110000000000000100000001000000000000000001001000000000000000000000000100000010000000000100110000000000000100000000000000000000100110000001010000000010000000100000000001000000001010000000000000000000010000000000010000000010000000000000000010000000010010000000000000100001000000100000000100000000001000000000000000000000000000001000000000000011000100000001000001000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001000000000100000000000000000000001000000000000000000000000000100110000000000000000000000000000000000000000000001010000000000000000100000000000000000000001010000000000000001010000000100110000100000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100110111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010011110000000111100110100000010111000000000000000000110001100011110000000111100110000010001101000000111101001000110000000011110000000111100011000000000011000000000000000001111000010011110000000101100001100000001100000100000010010001111011110000000000110100100011100000001111000000111110110000000010000000010001111000100111000010001100000000001100000000111000000000000001111000000111110000001011000000000010010000000000110011110000010000000000100000000000000001001000000001110000000000110000001001110111100000000110000000111100000001011000000011110000000001110011100000001111000000000010000001111001101000110000000001110111100000001111000000111100000000000000000001111000000000000000000000000000000000000000000000000000000000000001111000000111100000000000000000000000000001111000000000000001111000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111000000000000001111000000111100000000000001000000000000001111000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000110000100000000000000000000000000000001000001000000000011000000000100010000000000010000000000000000000001000000000010100000000001000000000000000000001000000000000101000000000000010000000000000000000000000100001000000001000000000101000000010010000100010000000000010000000000000000000100100010000000000010000000000000000010000000100001000000000000100000000000000010000100000000000000000000000000001010000001000000000000000000000000000000000000000000000000000000001010010000010000100000000000000000000100000000010001000000000001000000010001000000000100000000000000000000100000000000001010000000010000100000000100000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000100000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000100000000000000010000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001100000000110001000000000000000000000000000000000000000100101100000000110100000000000100000000000000000000000000000000101100000000111000000000000000000000000000000010010000000001000100000000110000100000001000000010000000100000011000101100000000000010000000000000001001000000000001000000000000100000000001001000000000000000011000000000000100000010000000000000000001000000000000000100000100000000000001010000000000000000101100000000000010000000000000000000000000000001001000000000000000000000001110000000000001000000000000000001000000000000101100000000000000000000001000000000000000100001000000000100000000000000001000000000001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000101000001001000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000010001000000000000000000000010000000100000000000000000100000010001000000000000000000000010000000000000100000000000000000000100000010000000000000010000000000000100000000000000000000100000000000000000000000000100000100000000000000000000101010000000000000000000000000000100000110010000000000000000000000000000000000000000001010000000100110000000010000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000100000000000010000000000000000000000000000000000000011000000001010000000000000000001010000000000000000000000001000010000001010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000001010000000000000001010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000001010000000100110000000000000000000000000001010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000011110001111111000111100100001110001100111100100000010000000001110000001011000111100000001100000000111100000001110000000011111000000111100010000000000000000000100100010000010000000011110000000110100000011110000000000000100100010001110000000011111001000011001001110000000010100100101110000000110000000001101000011000000001000000001111100000101100100000111000000000000010011011100010001110000000000000100100000000011000000000100001111111100111100110000000000000000001100001111000000011111001110110000110100000000110100000011100000001111000000000110000000100100011000110000000000000000000000001110000000000110001111001100001000110000111000000111100000001111000000001001000000000100010000000000000000000000000000000000000000000000000000000000111100000000000000000001000000000110000000001110000000000000011000000000000000000000000000000010000000000000000000000000100100000000000000000000000000000100000000000000000000000000111100000000000000000000000000001001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001100010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000011000000000000000100100000010000000000000000000001100000000011000000000000000000000000010000000000000000000000000000001000100000000000000000000010000000000000000000000001000000000010000000001000000000000000000000000100000000000000000000001000000000000000000000000001100000000001000000000000100000000000001000000001001000000001000000010100000000000000001000001001000000101000000000000010000000010000000000000000001001000011000001000000000000000000000001001000000000000000000000000011000000000000100000000000010000100000000000001000000000000000000011000000000000000000000000000100000000001000001001010000001001010001001000000000010000100000000000000000000000010001000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000101110010010000100010000001001000000100010000000001000000000000100000000000110000000010010000000000000000001001000000000101000000000110000000000000000000000001000001000001000000000101000000000110000000100000000000000000000010000101000000010100000100100000000001000000001010010000000100000000000000010000000000000000000000000011000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110110000000000000000000000000000010010000000001010001001000100110000000000001010000000000000001000000000000000000000000110000000000100000000000000000000000010000000000000101000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000001000000000010000000000000000100000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011001011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010110000000000001000001010000000000000000000000100000000000010010000000000000000000100110000001000000000001000000000010000000000000000000000000000000000000000000000001000000000000000000001100000000000001000010000000000000000000100000000000001000000000000000000000000010000100000000000000000000010000000000000000000000000000100010000000100000000000000000000010100000001000000000000000000010000010000000000001000001000000010010001000000000000000000001000000100000000000001001000000000000000000000000000000001100000001010000000001000000000000000000100000000000000000000000000010000000000000000001000000010000000000100000000000100110000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000010000110101011111111100001000001000000000101010110000100000010000110101011111111100001000000000000000000000000000000000010000110101011111111100001000000000000000000000000000000000010000111101011111111100001000000000000000101010100000100000010000110011001101100000001000001000111111000000000000100000010000000000000011001100001000000000000000111011100000100000010000000000001010010100001000000011010001111100000000100000010000000000001100101000100000000000000101001000010000100000010000000011111111000000001000001000000000010110100000100000010000000011111011011100001000000000000000111111110000100000010000000011110000000000001000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000010000111110101111111100001000001000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000100000010000010101010000100000001000000000000000101010110000100000010000110101010000001100001000000000000000000000000000000000010000110101010001000000001000000000000000000000000000000000010000111101010001000100001000000000000000111111110000100000010000101010101110010000001000000001011111000000000000100000010000000000000011111100001000000000000000111011100000100000010000000000001111111100001000000011000000111100000000100000010000000000000101111100001000000010100000001011010000100000010000000011111111000000001000000000000000010110100000100000010000000011111111011100001000000000000000111110110000100000010000000011110000000000001000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000010000111111111111001100001000000000000000000000000000000000000000000000001111110000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001111111000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011010100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010110001000000001000110111111111111000010000000000000000111100000001000000001000001111111111110000010000000000000000000000000000000000001000010101011110111000010000000000000000000000000000000000001000111111011010101000010000000000000000111011100001000000001000111011110001000100010000000011100010111101110001000000001000010101100000000000010000000000000000000000000001000000001000101110110000000000010000000000000000000000000001000000001000000011111111111100000000000000000000000011000001000000001000010111110101001100010000000000000000000000000001000000001000000011110011001100010000000000000000101110110001000000001000000011110000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000011111100010000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000001111111100010000000000000000000000000000000000000000000000001111111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000101010100000000000000000111111111111111000000000000000000000111111110000000000000000001111111111110000000000001000000000000000000000000000000000111111111110111000000000000000000000000000000000000000000000111111111111111100000000001000000000111011100000000000000000111111111111111100000000001010111000011101110000000000000000010101000000000000000000000000000000000000000000000000000000001100110000000000000000000000000000000000000000000000000000000011111111110100000000000000000000101011000000000000000000010100000101001100000000000000000000000000000000000000000000000011110001000100000000000000000000000100010000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001101111100000000000000000000000000000000000000000000000000001110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000110000000000000000001110100110010010100000000000110110000000110011101011001110001110111111110111100110110000110010000100010001110011001111000000101100000111100100110011111011000000110000110000001000001100111001100111000110000011111011000110010001000101001111000000000100110000000110110000010000000010111100110111100000011011110010001110100110001000000000000010000000110000000000000000100110000111100100010000100001100110000000100001000000001110011111110101101110011001100011011111011101100111001111010010100111011000101100011001100011000000000001010111101111011110100110010101101100111100000001100100001001000001001010011110101111110110000010110000110001011111011101100110101111011000000001110111100110000100000001011111011100110000001001000000000000000111100000001100000110000110001100100001000000011110111110110100100000000011001011000110000001000011000010000000000000000000000000000000000001100110001100110000000000000000000000000000000000000000000000000000000000100001000000000000000000000001100000000000010000000000000011000000000000000000000000000001100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101100011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000011000000000000010000000000000000010000000000000000001000001000010010000000000000001000000000010100101000100000001000000001000000000000000000001000000000000000000000000010000101000100101000000000000000000000000001000000100000110000000010000000000000000001000000000000000010000000010000000000100000000001001010000000000000000000000000010000000000000000000010000010000100000000000000010000000000000000000000000000000011000010010000000000000000000000000000110100100010000000010010000000010000000000000000000000000000000000000110000001000010000010000100000000100000110000000000000000000000000000110010010010000000000000010000000000000010010101000000001001000000010001000000001000000000100010000000100000000010000000000000000000010000000100100000000010000000100000000000000000110110010010010000000000000000000000000000000100000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001101110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000100000000000000010000010000000000100001000010001001000001001000100110000000101000000000000000010000000010001000000010000000010000000000101000000000000000101000000000010000000010000000010001000000000101000001000000000000010000100000000000001000000001000000100100000000101010001000000100000000100001000000010010000100000000000000100000010000000000000000000010000000000100000000000000000001000000000000000000000001000000001000010010000000000000000000111000000000001000100001000001001000000000000000000000000000000000000100101000001010000001001000101000000100100000000000000000000000100000010001000110000100001000101000100100100000111100000001000110001000000000001000110010000000000000000000101000001000000000100000000000000001001000000000000001000110000100000000000000000001000000100100001000000000000001000000100000000000100000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100000000000000010000000000100010100001000011000100110001000100000010010000000000100010000000000001000000000001000000011010000010010010100000000010000100000000000001000000000100000010000000000110100000100000000001000001000000000000010000000010000000000000000000000100000100100100000000000000100000000000000000000000000000000000000000000000000000000000000000011010000000110000100010000000000100001000000001100001001100100001100011001100011000010100000000000100110000000000001000000001100011001100011000000000000001000101001010001000000000000001100010000000000000100001000001000001000010000000000001000100000000000000000000010000000000000001000001000000001000000110000000000000000000010001000100000000000000000000000000100000000000000000000000000000000100001000000010000000100000000000000000000010100000100000000000000101000000000000000000000000000000000000001000100001000100000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000101100000000010000111110100000100000000000000000000110000000001000001110010000111100000111000000000000001000000010000010011100001111011000010011000111100000000000001000000110000110001000001000010000111100110101100000000000000000000000000000101100010110100100110000000000100000000000000000000000000000000100000000100000100000010100100000000000000000000000000000000000000110000000000010011111100000000000001000000110000110010001110101101100111111000000000000000000001000000110000110001000001101011001101000000001100000000000001000000110000010011100001000000001011000000000000000000000000000000110000000000000101100110000111100110111100000000000000000000110000000000000101100000000001100000010000000000000000000000000000000000000000000000000001100000000000000000000001000000100000110101100011110010000011100010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000110000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100000000001010000100010010000000000000000000000110000000000101000000001010000100000000000000000000000000000100010000100001000010000000001000011101000000000000000000000110010100000000001000000110001110001100000000000000000000000000000000000000010000000000001000001000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000100000000000000000000111000000000000000000000110001000000000000000000000101101100000000000000000000000000110000010000000000100000000000000001100000000000000000000000110001000100000000100000000000000000000000000000000000000000110000000000000000101000001001010000111000000000000000000000110000000000000000100000010000100000001000000000000000000000000000000000000000000000010000100000000000000000000000000000010010100000101000000000110000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001001000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000100000000001100100000000000010000000000000000000000000000000100000000000000000010000100000100000000000000000000000000000100100000100000000001000000000000000000000000000000000000000000000000000000000001000100010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000100000000001000010000100000000000000000000000000000000000000100100000000001100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000001001000000000000100000001100000000000010000000000000000000101000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000010000000000000000000000100000000000000000000000000000000000010000000000000000000110000000000010000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000000011011000000001100100000101100000001111000100011001111000110011011000010000101000100000010000000111001000110100000001010000011000000001111011100101100000011111100100111100110001110011011001101000110011100100100100000010111100111110010001010001001001101001111110000111100000001111000000011100000001011011011001011001111000000111100000001100000100001100010001111011011011010001100000100111100110001110011000011001101111111001010001010000000001010001100010001001111100000000000001111011011011010001111010100101110010000010011000011101111001111011000000110001111000000100100100000001011000101110110001111011000000000000000000000011010000011011100101111110010001111000000000000000000000000000000000000100001100011000010000111000000000000000000000000111101101010010000000011000000000000000011011110000111111100111100000001111000000010100010000111000000000000000000000000000000000000000000000000010000000000000000000000000000000000111100000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110010001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000010000000001000000000000001100000000000000000100000000000100000000000000010000000000100000000010010000000001000000000000110000000001010000000000000100000010000100000000001001000010010000000000010000000000001000001000001110000100010000000111000000100000010100000000100000000000100000000000100010000010000000100000000010000001000000010000000011000000000001000000010000010010000000001001000000000000001100100100000000010000000000100100010000000001100000010010000100100000001001000000011010100000000110001010010001000000010000010010000001000001000010100000000000000000000000000000101000000010000000100110001000100011000000000000000000000000000000000000010100100100001000100000000000000000000000000000000010000000000000000000010000000000010000000001100000000010101000000010001000001010001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000100000000000100010000000000000000101000000000000000100000000100000001000000000000000000100000000000000010000000000101000000100000000010000010000100000010010000100000010000000010001100010000000001000000000000000100000011000001100100000011001000010000001000000100000000000000100000000000000000000100001000000000010100000000000000000000010000000000000000011000000010000110001000000000110000000110011001000000100000000100001000100000000000000000000000000001000010000000000000001000000100100100001000000000100101000000000001000010000000000100000000000000001000000000001000000000000001000010000100001000000100000000000000000000000000100001000010000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100110000000000110010000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000100000000000110010000000000100000010000100100000000100000000000000001000000000000100010010000000010000000000000000000000100010000010000010000000000001000000110010100000000010000000000000001010000010000000000100000000000100000000000000000000001010000000000010000000100000000000000000000010000010000100000010000000110010000000000000000010000010000010000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000010000100000100001010000000000000000000000000000000100000000011000000000000001000000000000000000000000000000000010100000011000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000100010000000000000000000000000100110000000000000000010000000000000000000000001100010000010000010000001000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000011011000000000000011001000000100100111100000001111000000111110010001111000110001110000000111100010011111001111000100000000110000110011110011111001110111100101111110001110000000101101110101010011111011110011111111100111111111000000001000111101100100111010110010100001110000000111100110001111000000110100010001110000110000000011011100100111101110001101101000111110010001111000010000010001101100100111110010001111000000111100010001101010110011110011111111100000100000001101000100110001111001001000110011110001111001110101010010000000000000111100010001111000000011110000001001111011100000001111000001011010010001111000000011110011111101100010000000001101000000101100110000011000000010000000000111101101000000010011000000000000110100000000000000010001111000000111100000000000000000000000010000000001110011110001000111100100000000001100000100111100010001101000000001111100000000000000010000000000000000000000000000000000000000000001111100100111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000010011000000000010000010000000000000001000000100011000000000001000000000000001000000101001001000000000101000010000001001000000000000000000001000000000000100101100010000111010000000000000000000010010001010101000110000000000010000000000010000010001000000000011000000000000000000000100000000001001000001010010000001000100000001000000000000100100100000000111001000010101000001000100000100000000010000101010010000010010000000100010010000100000010010000001010001001010001010000001000100000000000000000010000001000000000000001100000010000100000000001101000000000011000100000000000000001100010000000100000000100010000000000100000100000000000000000000000000010000000000100000000000000000100000000000000000000100000000000000010000000000000000000000000000000000101000001000000000010000000000000000010000100101000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000001000000000010000001100000001000000000000001000000101000001100000100000010000000001001101000000000000000000100000000100101000101000010011100000100100011000000110100001001001001000001000101000010000100011000000000000001001000000000000001000011000001001000000000000000110100000000001000000000101010000000000001000010000001000000000010100100001001000000010000000000000000100010000001000100000100000000001000001011000001001001000100100010000000000000000010000000001000010000100000000001000110100000000000001000000000000000000100000000101000000000101100000000000000000000000010000000000000100001000000000000101100100100110000000000000100000000001100010000000000000000100000000000110100000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000100101101000001100000000000011000000000100000000010100000000000001000000000000000000100000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110100111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000100010100000000000000100000000001000000000000011000001000000000000000000000000000010000000000011000000000001000000000000000000000000000100000000000000000010010000010000000000010000000000000000000000000000000000000000001110100000000000001000000000000001000010000000000000000101000001010000000000000010000010100100000010000000000000100000000000000000000000000010000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000010000000000000001000010100100000000000000000000000000000001000000000000000000000000000001100000000000000000000000000000000000000000000000000000001000010000000000000000000000010000000000000000000000000000000000000000000000000001010000000100110000000000000000000000100000000000000000000000000100000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000010001111011000110100110000000000000101000000001010000000000110010011011000011110000000000110000111110010001110000000011111001111011100101110000001111000100100100000001110000000011110001100111100000000000001111101110011100000001111000000010110000111100000111101011000110000000011101001011111000000000000001111011100111111101100100100000001100100000111000000000000001111001000100100000011111000100110100110001111000000011110001111011100011010000001111001110100110000001010000000000110001111101000001101011001111001110000011011010011000000010110001111111100101110110000010001110000000100001110000000010010011111111100111100000001111000000010000000001011000000011010000000000000100100000000000000000010000000001000000000000000000000100000011000000000000000000000000000000010000000010010000111110100100100000001101100000111100100000111000000000000000000000000000000000000000000000000000000000000000000001101000000000000001001001000000000000000001001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000010000010000000000000000000000000000000000000000000001000000010100000000000000001000100000000000000000000000000001001011000000000000100000000000000000000000000000000000001000100000010000000000001001000101011000000100000000000000000001000000000000010000000001000001000100000010000000000000000001101011000101000000000000000000000000000010000000000000000001001000000010000000001000010000000010010100010000000000001001001000010100000000100100010000000000000000000000000001010001001000000000000000100000010000000000000100000000000000010100100000010000000010000000010000000000000000010000000000000010000000010101000000100000000000100000000001001000000000001000000000000000100000000000000000000010000000000000000000000000000000000101000000000000000000000000000000000000000000000010000001000000000000100010000000100100010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000010000101000000000000001100000000000001100000000101000000000000000000000000000000100000000010000001001000010011000000000110000100100000001000100011000000000110000000000100000000000100000010000110000000000010010010000000000000001000000000001000010000010000000000000010000000000000000000001000000000000000000100100000000001000010000000101000000000000000000000000000000010000000100000000001000000000010000000000101000000000101101000000000000000100000010000000100000100001000000000000000001000010000010100000000010000000000001000000000000000001010000010000110011101000000000000000000000000001001000000001010001000000110010000000001000000000000000000000100000000000101000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000001000000000110000000000110100000000000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010000000000000000000000000000000000000001100000000000010001100000000000000000100100010000000000000001000000001000000011000000010000000100000000000001100000000001100000000010000000000000000000000000000000000100010000000001100000010000000100110100000000000000010000000001000000000000000000000000000001010000000000000001000001000000101000000000000100000000010000000000001000000000000010100000000000000000000001000001010010000000000010000000001000000010000000000000000001000010000000000100100010000000000000100000000000000000000000010000010000000100000010000000000001000000000000000000000000001000010000010000001010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000001000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101110110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100000100000010000110101111111111100001000001000000000111011100000100000010000010101011111111100001000000011100010111101010000100000010000001100110111001100001000000011111111101110110000100000010000010101011100110000001000000011111111111111110000100000010000110011001111111100001000001000111111111111110000100000010000001100111101111100001000000010101010111111110000100000010000111111110011001100001000000011111011111100000000100000010000011101111010111000001000000000000001111100000000100000010000000011111111111100001000001011111111111111110000100000010000000011111011111100001000000011111111001111110000100000010000000100001111111100001000000000000000000000000000000000010000111100000000000000001000000000000000000000000000000000000000000000001111101100001000001001110111111100000000100000010000000000001101110100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100000100000010000110111010000001000001000000000000000111011100000100000010000011101010000010100001000000011100010111101010000100000010000111111110111011100001000000001111111101110110000100000010000111101011101110100001000000000000010111111100000100000010000110111010111011100001000000000110011000011010000100000010000101111111111111100001000000011111111110111110000100000010000010101110011011000001000000011111111111100000000100000010000011101111110111000001000000001111111111100000000100000010000000011111111111000001000000001111111101010100000100000010000000011111111111100001000000011110111001111110000100000010000000000001111110100001000000000000000000000000000000000010000011100000000000000001000000000000000000000000000000000000000000000001110111100001000000001110111111101010000100000010000000000001100110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000001000000001000110101011100001100010000000000000000000000000001000000001000010101011111111100010000001001011111111011000001000000001000000111110011001100010000000011000000111111000001000000001000010111111010101000010000000011110101000010100001000000001000101011001111011100010000000001010101111111110001000000001000001000010100000000010000000011111111101011110001000000001000111111011010101000010000000011111111111100000001000000001000011111011010101000010000000010001000111100000001000000001000000011111111010100010000000000000000111100000001000000001000000011111111100100010000000011111111000000000001000000001000111011100000000000010000000000000000000000000000000000001000101011110011001000010000000000000000000000000000000000000000000000000000000000010000000000001111111111100001000000001000101111111111111100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111110100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000111111000000000000000000010101011111111100000000000000000000000000000000000000000000111111111111011100000000001011111111111111110000000000000000111111110011101100000000000011111111111111110000000000000000010111111111111100000000001011110000111110100000000000000000101011001111111100000000001011111111111101110000000000000000101000011111111100000000000010101001101011110000000000000000010101111011101000000000000011111011111100000000000000000000111111111110111000000000000000110000111100000000000000000000000011111111010100000000000000000000111100000000000000000000000011111111101000000000000000001111000000000000000000000000111011100000100000000000000000000000000000000000000000000000101011110011001100000000001000000000000000000000000000000000000000000000000000000000001011111111111111010000000000000000011101111111111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010001011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110000010000000110100000000000000001111001000000000000000000110000000110000000110000000000000001100100110000000000000000000000000000000000000000000000110110000000000000000010000000000000110110000110110000000000000110000100000000000000000010000000000000000100001100000000000000000000000000110000000000000000000000000110000000000000000000000000000000000001100110110000000111100000000000000011001110000100000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000010001100000001100110000000110000000000000000000000000000000010001000110001100000000000110001100000000000001111001000000000000100000000000110000000000001100000000000000000000000000000000100000000000000000000000000100000000110000000000000000100000100000000000010001100010000000000000000000000000000000000000000010000000110000000000000100000000000000000000000000000000100000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000100010001000000000000000000000100000000000010000000000000001000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000100000000000000000000000010000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010010000000010000010000000000000000000000000000000000000000010000000000100000000100000000000000000010010000000000000000000000000000000000000000000000101010000000000000000000000000000000100000101000000100000000001010000010000000000000000100000000000000000010000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000100001000000101000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000010000000000000000000000000000000001000000001000000000000000001000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000100010000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100100100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000010000000000000000000100001000000000000000000000010000000000100000000100000000000001000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000100000110000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000100000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000100000010000000000000000000000000000000000000000000000001000000000000100000000000000000100001000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010100100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000011000000001001000000000000000010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000110000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001110010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110110001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101111010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000111100000000000000000001000000001001000000010010000010000000000000000010010000000000000000000010000000000000000000000000011000000010010000000000000000010010000000010010000010000000100100000000000000000001100000010010000000001101010000000000000000000000000000000000000000001100000000011111010000000000000100000010110000000100000000010110000000010010000000000000000000000010010000000001000000000100000000001101000000000000100100000001001000000000000000000010000000000000000000000000011000000000010000000000000000000000000000010010001100000000000000000000000000000000000000000000000000001000001101000000000000000000000000000000100000011111000000000000010010000000001000000010000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000010010000000011010000000000000000011010000000010000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001000000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000001000000001000000000100000000000000000100010000000100000000000000000100000000000000000000000000000000000000000000000000001000100100000000000000000001000000000000100000001010000000000100000000000000000000000001000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000001010000000000000000001000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010010011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000100000000000000000000010000000000000000000000100000100000000000000000000100000000000000000000100000000000000000000000000000000000000010000000000000000000010000000000100000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000100000000000000100000000001100000000000000000000001000000000100000000000000000000000000100000000000000000000001000000001000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000100000000111100000000000000000000000000000000000000000000000000000000101100000000000000000000000010110000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001000000000101100000000000000000000000000000000000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000010000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100001001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000110000000011111001111000111100000000000000110000000000001100001001110100000001101110011000000110011111001000110000001110111000111000000000000000001100110000000000110000000000000000000000000000000110000000001110110000000000110000000000000000000000000011110001111110110000110000000100010000110000000110000000000100110111110000101100110110000000001111110001100110000000000000000110100110111000110000000000001100000000000000000001100001100011101100011111111110100000000010000000001110011100011000110110011110111100110000100010000000010101001110011100010111110111111010111101100011011111011100110000000000001100000000000110000000000000000000100000000000110000100000111100000011110111111110111100000001100000001100000000101100011100001011110111110011101100100001100100000000110111000110000000100111011110101010100100100001000000000101110011000110010001100010110111100110011100110001101110010000110000001110011101111011111011111111110101100001000000001100100000001100010001111000000000000000000000000000000000000000010000000000001100000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000001100000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001001000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000001000000000000010000010110000000000000000001000000000010100100000000000001000010100101000000000000000000000000000000100101000100000000000000001000010000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000010111100011000001010000000000000000000000000010000000000000010001000000011000010000000000000000100010010000000000000000000001000000000000000000000000000010000000000000000000000000010100011010101001000001000000000000000010000000100001100001000000000001000000100000000100000000000000000010000010000000011000101101100101100000000000001100000000000000000010000000000000001000000000000000000100000000000000010000000010000000001000000101000000100001100000000000100000010000000100100100000010000100000010000000100100000000010000101010000000000000000101001000000010000000000000000000110000000010000000000000011001101010001100000000100110000000010000000010100101100100010100110010101001000000000000000010010000000000100001000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100110000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000100000001000000000000000100000000000000000100000000000000000010001100000000001000000000000000101000000001000000001000010010000000000000000000000010000000000000001000000000000000000000000000001010000000000001000000000000001000000000000000000000000000100000000100010010000000000000000001000000010000000000000100101010000000010010000000100000000010000000010000000000000000000001001000001001000000000000000000000000000000000010010000000000000000100000110000100000000000000000001000000000000010000001010000000101000000000100000010000000110000000000000110000000100100001000000100000111000001000000000000000000000000000001000000000000000000000000000010000000000000000100000010000100110000100100000000000000100000000000000000000000000010000000100100010000000000000000000010000000110000000010000100100010001000001000000100000000000010000100001000000010001000100010000100000010000000010000000010000000001000000000001001000000000100010000000000000000000000000000000000000010001000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001000000100000000000000000000000000000000000001000000000100000000000000000000100110100000100000010000000001000000000000000000100000000000000000000100000000000000000000000000000000000000100010000000000000000100000000000000000000000000000000000001000000000000010000000110000000000000000000000000000001000000100000000010000000100000101100000100000000000000000010000100001110000000000000100000000000000000000000000000000001000000000000010010000000000000000000010000100001000000100000101100011000100000000000000000000001001000110001000001000000000000000011000010000010000000100000000000001000000000000000000000000000000000000000000000100000000000011000000010001000110001000100000000000000000000000000010001000100000011000001100000001010000000000100000000000000000000000000000000000000000001000010000000000000000001000010000000010000000000000000000000010000100000000001000100000000000000000001001000000010000000000001100001000000001000000000010000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001000011111011000111101100001100000000000001000000110000110101100001111011001111100010010000000011111000001000000000000000000000111001001001100000000000000011000110001000000000000100000011111000000111100110000000000000000000000000000000000000100001100110100000011111111100000000000000000000000000000111100111111001000101111110000000000000000000000000010000000011010001000011000011111000111100000000000001000000100000110111100011001101101011111000111000000000000000000000100000000010001011111001001111100110001100000000000001000000000000110001100011110111000110011110110100000000000000000000000000000100000000001001000100000000001100000000000000000000100000000000000000110000000011000000001100000000000000000000010000000101000010110111100111101110000000000000000000000000010000000000000000111011000111110100110100000000000001000000110000110001100001000010000011111100000000000000000000000000110000000111001001111011000111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010110010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000101000000000110010100000010000000000000000000000110010100000101001010100100101000000000000000000110000000000000000000000000010000000001000000000000000000010000100000000000000000000000100000000010001000000000000000000000000000000000000000000000000000000000000010101101000000000000000000000000000000101000100000100010000001000000000000000000000000000100000000000000000000000010001101000011000000000000000000000010010100110000001000010001000001100101000000000000000000000010000000000000100010000000101000000000100000000000000000000000010100000001000011000000000010011001000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000100000000000000000000000100000000000000000000100000000000101000000001010010010000000000000000000000000000100000000000001000000001010000100010011000000000000000000000110010100001010001000000110000100110000000000000000000000000110000000000001001000001010000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011001111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100001000000000000000000000000000000000000000010000000000001000100010000000000000001100000010100000000000000000000000001100000000000000000000000001111011100000000000001000001000010000100000110000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000001000001000001000001101000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100100000100100000000000000001000000000000000000000000000000000000000100010100010010000100000000000000000000000000000000000010001000000110001010000001000000000000000000000000000000010000000000100100001000000000000000000000000000000000000000000000000010000000000100000100000000000000000000000000000000000100000100000000100101000000000000000000000000000000000000000000000010010001100000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010001000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011110010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001100001000000000000010000000000000000000100000000000000000000000010000000010101000100000000000000000000001000000000000000000000000000001000000100000000000000000001001000000000010000000000000000000000000000000000000000100000000110100000000000000000000000000000000000000000000000000011000000000000000000101000000000000000000000000000000000000011000000010100000000001001000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000010000000000000000000010000000000000000000010010000000000100000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001001000000000001000000000000000000000000000000000000000000000000001000000010000000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000001000001000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101100011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000011011000000001111100101011100000001100000100111100110100111011000011111100101011000101101111001010000001111101101001110000000001111100110000000100110010001000100100111100100000110011011000000000110100100100101101101111000000000010010000110011011100010001111000000110110010000010110101011110100001111001111000110000110111100010000010001111011100011000100001110011010011101001110111000011011001001111001010101100000001111011010010100000110100100011111100011111000000101100000000111001000011011001111011000111110100001111111000101100100001111011011000000001111111000111100010001111100100111101101000011011011001000000110010000001101101000000001000110110010000000000000001100000000001000000001000000011111000011010000000000011010000000010010110000101101100010111111001011100110000100011011010010000111100100001100110000111101100011110110000110000111010010001110111100011100000001111000000101100000001110011011001101000101000000100100000001110000000011000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000010000000000000000100001000100000000000000100001010000010000000100000000000001000000000000000000000000000000000000000010000000000000000100100000000000000000000100000000000000000010000000010000000000000000000000000000000100000100010000001000000100000000000010100000000010100000000001000000001101000000010000100000001000101000000000010000101000000000000000011000000000100101000000000000000010000000110000000000000000000100000001100100000000001000000100000000000000000010000001001000000010001000101000000000000100000000100100000001000000010000110000010101000000100000000100000000000000001000000000010000000000000000000001000000000000000000000000001000000000000000000001001000000010101000000000000000000000000000000001000010000001001000101001000000000000000010000000000001000000100000001010001000010000000010000000000000000000000000010000010100000000010101000000001000000000000000101000000001100000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100011011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100010000000000000000010000000000000000010000001010000100000000000000011110000000100000000001000000000010000000000000010000000000001001000000100010000010010000010000000100000000000001010000000000000010101000000000001001000001000100000000001000000000011001000000000000100010010100001000010000100000010000000100000000000001000100000000000000101000000000000100000010011000000100100000100000000001100000001000000000101000000000010000010001000000010000000000000000000001000000000100001000000000000000100000011010000000000000010010001000000000010100010000100000010000100010000011000000000001000100000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000001010000000001000000000000010100000000000010000001000000000000000100010010000000000010100100110000000010001000000000000000110000000010100000001000000000100001001001111000000000000100000000000000000001001000000000000000000000000100000000001000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010001100001000000000100000100000110010000000010000000000000000000000010000000000000010000000100001000000101000000000100000100000000000000010000000000000000110000000100000010100000100000001000000010000000000000000000000000100000000100000010000010000000000000000000010001000000000000000100000000001000000000100000000000100110000000100000000000010000000010001000011000000000000000000000000000000001000000001100000000000001100100000100010001000000000000001000010000000001000000100100001100000010110010000100000000000100111000010000001000000000010000000010000100000000000100000000101000000000000100000000000101000000011001000000000000000100010100000000000000000001000000010000000000000000000010000000000000000000000000100000000000000000010000001100000000000000000010100000000000000000010001000001000000000110000000001010000100001000001000000100000000000000100000000000000000000100010000001000000000000001000000000001000000000000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000010000000000000000000010010000000001110011111000011111100100100000000010000000111110010000011011110011110000111111100011100000001100000000011110010000101010010000000000111000000001100000010011100100001110010001111000000000000001000011000011000000001000100100001101001000011000110000100001110000000111101101001110001110111111110001010010010010100101111011000110010000000110100000011110010001110011111011110001110111100001100100001111110000011100000000111010010010010001111000000001100000001111101010011110110000101000100000110001111000000001011111000101101100111100000000111010010000010000101000000101100000001010011000010100000000110000110000100000110011000111100000001001000000000000010000110000010011110000000000000000010000000000000000000011111100000010000011111001111011000101100000001111100000111110010001111000110000110001111000100011000110101111101100101100010001111001110011100000011011000111000000000000000000101100010010111000000011110000011111100111100010000100001000111100000001011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011010010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000001000000010000000000000001000000101001000010000000001000001010000000011000000000000000000000000010000001000000000000000010000000000000000000010000000000000000100100000000000000000000000001001000000000000000000000000000000001000000000000010000000000000000010000000101010000000010001000000000000000000100001001001000000000000000000000000011000000000001000000001000000000010000000000001000001000100000000001000000000000000100000000000000000000100010000000000010010010000000000000000100000000000001001000010000000000000110000100001000000000000100000000000011000000000000000010100000000000001001000000010000000101000010010000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010101000010001000000100000000000000010000010010000000001010100000000000000011000100000000000000001000010000000001000001010000000010100000000000000000000000000000100100000000000001100001000010000011000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001001010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000001000000000000000011000000000000110011000000000000000000000000100000000001000100101110000000110000000000000010000000000101000000000001001000000000000000001000000000000000010010010001000010010000000000000000100000001000000000000100000100000100000000000000010000000010010000000011000000101000000000010101000001001001001000100011000000000000000100010000000100000101000000100001000001000001000010001000000000010100000101000000000010000001010001001010101000000000000000000011000100000101000000000010000000000101000000000000001000000000100100001000000000000000101000001000000000000001000000000100000000000000000000000000000100000000000000000001000000000010000000000000010000000000010000101100000000000000000100000000000000000000100000000001000001000000100000000001000000000100010000000001010000010000010000000001001000000000100000000011010000000100000010100000001100100100000000000001000000000000000000000000001000000000000000101100000000110100000000000000000000000000000000011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100100010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000001000000100000100000010000000000000000000000000000000010000000100000000000100000010100100000001000000001000000100001000000000000000001100000000100000000000000000000010000000000100000000000000000000010000000000000000000001001000000000010000001000000000000100000000000100000000000000000000011010000000000000000000000000000010000010000000010000001000000000100010001000001100000011001010001001000010000000001000000000000100000000000000000000000000110000000000000000000001000100100000000000000010000011000000000001000100000001000000100000100000000000000000100000000000000000000000100010000100000000100000000000000000010001000000010000000000000000000000000000010001000000000000000000000000000000000000000000000000000100000000000000001010000000000010001000000001010000000000100000000010000001000000100000000100000000000100000001010000000000000100000000000000010000000010010000000000000000000000100000000000000000000000000000000011010000000100000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000001100000111011100001000000001111000100111100010001110000000001100000111111100001011001001110100100101110010000110000011100000000011111100010011111101101000000100100000000110000011010010000010000000011110010001101111100111111111000110000000000010001010000100110110000001111000000011100110001110000000000000001101001000011100000000111011000101100010001111000000010010000111111100011111111001110110000001110010001010000000001111010011101100100101001001101101100111011010000110000000000010000111011110010001100000011000000111100100000111000000000100001101010100011101001001110100000111100100001111000000000100000010001000011100000000011000000110000000001100000000001101000000111100011000100000000000000000000000000010000000011110000111000100100100100010111001101011101101010111000000011101100111001010111100010000111000100011000000000110000000011110000101111100111000000000011000100111100100101110000000011011000111111100011000000001011100100111000000001110000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000000010101000001000000000000000000000000001100010000110000000000000000000000001000100000011000000100000001001001100100000000100100000000010000000000000000010000000000000000001000000100010000001000100000000000000000000001000000000000100000010000100010000000010011000000000000000000000010000000001000000000100000001000001000000001000000000000000010010101101010000000000010001000011000000000000000000000000001000000000000000000010000100000001010001000000000000000000100000010011000000001100001000001010000000010000000000000000100000000010001010000000010000001010000000100100000000000010000001000000000010000100000000000000010000000010000000000000000000000010101000000000000000000000000000000001000000000001100000000000000000010001000000001010000000001000000000000000100000000000000010100010000010000100000000000000000000000001010000000010100000000100000010001000000000000000000000000000100001000010000010000100000010001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000111010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000100000000100000000100000001001001000000000000100001000101000001000001001010000000000100000000000001100000000000100100000000100000100000000000000000010000000000000100000001000000000000100000100110010100010100000001000000000000001001000000010000100000100000000000000000100011000000000000011000000000000100000000000100000011000000000010000000000101000000010000000010100000010010000000001000001001000000000000000000001110110000000000010010000001000100000001000000000000000000000000000000000000000000000000100000000000000000000000010100000000000000000000010000101000000000000100000000000000000000100000000100000000001000000000000000000010000000000000000000000110000000000000000000000000000000000000000000000101100000000000001000000000000100000000000000100000000000001000000000000000000000000000000000000000000000010001000000000101110000000110001000000000000000000100100000001001000000000101000000000110000000000000100010001001000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000011000000110000000000000000100001010000000001000000000000000000000000010000000000001000000100100010000000000000010100000000000000000000000100000000000000000010000000100000001000100000000000000110000000100000000000011000100100000000000000000000000000000000010000000010000000010000000100000000000000000000000010000100000000100100000000000000010000101000000000000010000000000010000100010000000000000000100000000000000001100000000000000000000000000001100000000000100000001000000000100100100000000000001000000000000000000011000100100000000000001000000100001000000000010000000000000011000000010000000000000000000000000001000000000000000000000010000000000000000001000000000000010000001000000000000000000000000000000000000000000000101000100001000000100000000100000000000100000000000000000001010000000100110000100100000000010000000000000000000000000000000000010010000000000010000001000000000001000000000000000001000000010010000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010010000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111010101010000100000010000001100111111111100001000001011111111111100000000100000010000111111111111111100001000000000001111111011100000100000010000001001111010101000001000000001010101111011100000100000010000101011111100110000001000000000000011101100110000100000010000101010100010011100001000001010001000111111110000100000010000011100110011001100001000000000111111110011110000100000010000101100110000000000001000000011111111010111110000100000010000010111011000000000001000000010101111111100000000100000010000111111101111000000001000001001111111111111110000100000010000101110111111110000001000000000000000011111110000100000010000110111011110111100001000000000000000000000000000000000000000000000001111111100001000000000000010111111000000100000010000001011110000000000001000001011111111111111110000100000010000111111110101010100001000000011110011101010100000100000010000010101011111111100001000000001011111111111110000100000010000110111011111111100001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111011010100000100000010000101110110000010000001000000000001111111100110000100000010000101000000000001000001000000001010101010001000000100000010000001001111111000000001000000000110011010001000000100000010000000001011111000000001000000011000000001100110000100000010000111111110010011100001000000011110011101011000000100000010000001100110111101100001000000001111111110011110000100000010000101100110011010100001000000000010000010101110000100000010000010101010000000000001000000010001111111100110000100000010000111111111111000000001000000011111111111001010000100000010000000001010011000000001000000000000000111111110000100000010000010111011110111100001000000000000000000000000000000000000000000000001111110100001000000011111111111111000000100000010000001011110000000000001000000011111101101010100000100000010000111111100110011000001000000001010011101111110000100000010000111101010000001100001000000001011111110011000000100000010000010111010101010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110001000000001000111111110000000000010000000011110000111110100001000000001000111101011110111000010000000000001111101000000001000000001000000010101100110000010000000000001111010100000001000000001000001000101111000000010000000011011111111111000001000000001000011101111111111100010000000001110111111111110001000000001000011100110000111100010000000011100010101000000001000000001000001111110010101000010000000000001111000000000001000000001000111010101010101000010000000011011111111100010001000000001000110111011111111100010000000011101100111100000001000000001000111111101111111100010000000000000000000000000001000000001000010111011111111100010000000000000000000000000000000000000000000000000000000000010000000000111111111111100001000000001000101111111101111100010000000011111101110011110001000000001000001110111111111100010000000000000000111110100001000000001000000011111111110000010000000000000000111111110001000000001000011111111110111000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000111111100000000000000000110111110000000000000000000000110101111110100000000000000000100010001110111000000000001001010101111101010000000000000000010111111010101000000000000000110011111110100000000000000000011101111100110000000000001011101100111011100000000000000000001111111101111100000000001000111111000000010000000000000000011111111000111100000000000011001100111101010000000000000000001111110100000000000000000010111111100000000000000000000000111110101110111100000000001011111110111100110000000000000000010101010000111100000000000000101100111100000000000000000000111110101110111100000000000000000000000000000000000000000000110111011100110000000000000000000000000000000000000000000000000000000000000000000000001000111111111011110000000000000000111111111111111100000000001011111101111111110000000000000000001100110111111100000000001000000000111110100000000000000000111111111111110000000000000000000000111101110000000000000000111111111110111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000010000000000000000000000000010000000000000011110111100110111100000010000000110000000110001100001000010011000000010110000000110000100000000000000000000000100000000000000000000000111100000000000000000000000000000000000000000000100110000110111111000000000000000000000110010000110000000011110111111111110100100110011100001100110001100100001100000000110000011000001100110000000100000000110001100000001100000011110111111000111110110000000000000000100011101110011000011001110111111110111001100011001010101011010100101100011001111001100111110100010000110011011111111001100111001100011001111011101000100100010111111011011011111111111011110110000001111001110101111110110000100001000100001000000000101100011001011000110111111000111100000001100010001100010001101100011100011011000100101010001000000001111111011000100001100110001001100001000000000000111101100001000000001011100001000110000101100011000100000000000000000001100000001000000001000000000100000010100100110000101100110001100110000100000001101100010000011000000100000000000000000000001100011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000001000000100001000000000001000000000000000000000000000000000001000000000010000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001001001100000000000000000000000001000001000000010110110010101001010000000001000000100000110000000010000000000000000000111000000000000010000000000000000100000010000000001000010001001000001000000000000000000000010000000000001100010000110010110101000000000000000100001100000100000000010000000001100001000000000000000000000001010100100010100100000100010100000100001000000000100000001000000000100110010000000001000000000101000000000000000000000000000000010000000000001001000000000101000000100000010000000100100001000010100101100100000010010000000000000001000000000000000000000110000100000000000000000000000000110100100000000100001000100000001000000000001000000000000000000000000100000100000000000000000000100000000010010000010010010000100100000000100000100100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100110001010000000000000000010000000000100000100000000000000000000100000001000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000010010000100010000000000000000000000001010000000010000000000101010000100001000000000100000000010000000000000000000000010000000000000000001000000000000000010000000000000000000000010001010000000010001000000000000000000000000001000000000000001000010001000000100000000010000000110000000100000100010100000000010000000000010000000000110001000001000100000000010010000000000000010000001001000010000010010001000001000000001001001000010001000000100000000000000000000000000000000000000010010000100100001101000000100000100000000100100000000000000000000000000110000100000000100000111000000000100010000000010010000000000000000100100000000000000000000000000010000000010001000000001000000000000000000000000000000000100000000000000000000010001000000001010000000000100000000000000000000000000000000000000100000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101101111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010001000110000100000000000000000010000000000110001000001000000001000000100000010000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000000000000000000000000000000000010010010100010000000010000100000000000100000000000100010000000000000000000100100000000100000000001100001001000011000100000000000000010000110011000011000000000001010000000000001100011001000000000000000010001000101000010001000110000000110000011000010100000000000000000000101000000000000000000000100110000000010011000110000000000000000001011000001010001010010000001000100001000000000001100011000000010000000100001000000000000000000000000000000000000000001000000001000000010000000000000000010100000100000000000000000000000010000000000000100000000000000000000110000010000000000000001000000000000000000000001000000000000000000000000000000000000000000000000100000000000000100000000000000010001000101000000000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100100101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000110000000011100000000000000000000000000000000000000001000000000000000111000000000000000000000000000000000000000011100000100100000111000110000000000000000000000000000000000100000000001010000110000000000000000000000000000000000000000011110001110001000000011111110100000000000000000000000000000001000010111111000111111000110000000000000000000000100000000010100000001001000010111110101100000000000001000000110000010000000011110111100111100000001000000000000001000000110000010000000011100110000011101100101000000000000001000000110000110111100011110110000000000110000000000000000000000000110000000001100011011010000011011110011100000000000000000000100000000000000101110000000111100110000000000000000001000000010000110001000001000011000011100100100000000000000000000000010000000000000000110010000110001110111100000000000000000000000000000000000000101001000000000010000000000000000000000000100000000000000001000000000011100000000000000000000001000000000000110000000000000000000011100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001101100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000000000010000100000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000100000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000010000000000101001000000000000000000000000000000000011000001000010000001000000000000000000000000000010000000100000000000000000110010010000100000000000000000000110010000000001000000000001000000000000000000000000000000000110010000000000000000000010000110000000000000000000000000000110000010000000010000000000000010000000000000000000000000000110000000000001000000000000010101000010000000000000000000000010000000000001000000000010010100000000000000000000000000000100001000000000001000010110010100000000000000000000000000000100000000000001000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000010000100000000000000000000000000000000001000000000000000000010000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000000000000000001001000000000000000000000000000000000000001000000001100001010000000000000000000000000000000000000000000000100010000000000010000001000000000000000000000000000000000000010000001000010100000000100000000000000000000000000000000000000000100000000000100001000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000101010000000000000000010000000000000000000000000000100000000001010000000000010000000000000000000000000000000000000100000100101001000000010000100000000000000000000000000000000000000000000000000001001000000000000000000000000000000000100000001000000000000000000000000100000000000000000000000000000000000000010000001100010000100100000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101110110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000001000110010000001000000100000000000000000000000000000000100000000001000000000001001000000000000010000000000000000000000001010101000110000000001000000000000010000000000000000000000000000000010000001000001000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000100000000000000000010001000100000000000000000000000000000000001000000000000000000100000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000100000010010000000100100011000000000000001011000010001111100100010100000001111000100100101111001110001011001100101111100100101100000001011100100111100010001111011011001001000011000000111101111000101011000101100000001111011011100000000100000000011100000001100100100111101101001101001001001111001110000001011100100001111011000110110100000110011111011111101111001000111100000000110001000011000010000101001011000110000000011100110101111000110011100111111001000011000000011010000000101100100100100001111111100000000100000000011111000110000000111100101010110000110011100100101110000000010011000000001111001001111100000001110110100100111111000111011011000000000001000000001000000001111011010101110010000110000000000000001101011110111100000011111101000111100110101110000011001101001111000000000000100001000111110000010000001110011011000000000100101110110100100001111100100010000000001010000000000000001111100100000000100001111000000110100000001111000000001101000010111100011000000000000011000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011000000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000010000000000011000001000001000000000000010000010000000000000000000000000000000100001100000000000000000000100000010001000000000100001000000100000100001000000000010000100000000010000000000100000000010100000000000000000000010000000000000001000100000100100010000000000000000000000010010000100000000011000000000000000000000000000010101000001011000000000010000000100000000100000000000101000000000011000010011000000000001000100000000010000000000000101000000000000010000010010000001000000000010000000000000000000000000000010000000000000000001000010000001000000000000000000100101100000100100000000000011000010000100010000000000000000010000000000000000000001000001000000000000000000000000000000010000001000100000000010010000000000010000000000000000100010100000000000000000000000000011000000000000000000000000000000000000000001000000000001101000001000000000000000000000000000100000000100000000000010000000000110000000100000000000000000000000000010100000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001001101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000010000000100000000000101000000000000000001000000000010010010000000000000001000000000010000001110011001000000000001000010000100000000000101000101011000000011000000100000000000000000000010000000010000000000010000000011000000010000000000000000000010000000010010010000001000000100100010000000000000011000000000000000010100000000001010100000000000100001000001000100000000000000000000000001000000000000000000000000000000000100000100000000000000000000011000100000000000000000100000000000100001000000000100010000000000000000000000010110000000000000110100101000110000001000001000001000000000010000000001000000000001000000001000010000000001000000000000100000000000000000000000000000001001000000000101001000001000000000000001000000000001100000000100000100000100000000010000000000000001000000000000000000101000010000000001000001000000100000000000000000100100000000100100010000000000000001000000000000000001000010000000010000000010000000100000000001000000000000000000001000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110001110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100100000000001100000100000010000000000001000000000000011000101000100000000000000000000000010000000000110000000000000000000010011010000000010000000110000000100000000000000100000000000000100000000000001000010000000000000000000001100000001000000000001000000010010001000000000001100000110000010000000000000000010000000100000010000000100000001000101001000000000000000000001000010001011000010000000000100000000000000000000110000000000000001010110000000000000000000000100000100000000000010000000100000100000100000001000000000000000000000000000000000000000000100100000000000011000000101000100000000000000000000000100000001000000000100010000100000000000000000100000100000001000000000000000010001010100100100000010000000001010000000000000000000000000000000000000011000000100000000000001001001000000000000000001000000000000000100000000000000001010000000000000000000101000000000000000001010000000000001000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010100010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010010000000010010011110000100111100000000000000000000100100111111001100000000000010001101011000111000000001110000101111100000000111011110010010001001100100100100000000110100000011000000000011000010010010001101000100100110010000001100100100110010001111000010011111001111101100001110000001111000100111111111000110010010000000001110100100001100100000011000000111100000010111011111000110001011000101011110010001110000000100100000001111000110011110001110111100011101100000010000100111100110000111001110011110001101011101111100000000000000101011101110000001001110001110001111011010111011110000110001100111001111001100010010000110000010111100011100000000110001010111110010001111000010011110000000100100111100000000111000100000000010000000011100000010001111000000111110000001100000100101100010001110010000000000000010011000010001101000011000000011000010001110000000010010001111000100101000000001101000000000000000000110000000011110000000000000000000100000000011000000000010001111011110000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000100000000000000000000000000000100000001000000010000000101000001000000000001100000011000000001000000100000000000000001000001000000000010001000000000000001000000000000000000010000000000000100000010000000000000000001000110000000000000001100010000111000000000000000000000000000100000000000000000001000000001001000000001000000000000010100001010000100100000000001000000000000000001000000000000101000000000010111000001000000000000000110000100000000101000001100100000010100000000000000000001000000001100000000101000001001000001000101000101000000000101000000000000010001000000010000000101000110000000000000000000100000000100000000000000101000000000000000010000010000000000000000000000000000001000000100000000000000010000000000010000000100000000000000000000000000000001000100000000010000000000000011000000000000000001000001000010000000000000010000000000000000000000000000000000001000000000000000000010000000000010000000000100000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001001000000001001001001100000010000000000000000000000000001001001100100000000000000001000100000000000000000010000010000000000000000000100001010001000010000000000000010000000100000100000000000000000001000000010000000000001000000000010010100001001001001000000001000110010000101000000100000100000000001011010000001001000000000001000010001010000000000000000000010000000000000001000000000001000000000000001001010100000000110000000010011000000100100000101000110000000000000000000000100000000110000000000100100101000000010100000000000000000010000000001000000000000100000010011000000100000100010000000001000000001000010000100000001000001000101000000000010001000000001001010001000000010001000100000010000001100000000001000000000000001000000001000100010001000000000000001000000100000000000000000001000000100000000000001000000000000000000001000001000000000001001000000000100000011000001100000000000100000000000000000000000000000000101100000000000000000000000000000000000000000001000000101100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000000000001000000000100000000000000010001000000000000110000000000000000000000000010000000100000000000000000000100010000000000000000000000000000000000010000010001000001000100010010000000000000000000000001000000100000010000100000001000000000000010000000000000000100000000010000000000010000100000001000000100000000000010000100000001010000010000000000000000000000000000000000000000000000000000010001000100000000010000001000010000000100000100010010000000000000100000000000010001000000000000000001000001000000000000000001100000000000000000001000000100000010000010001000000000000000000000000001000000000000000000000000110000000001010000000000000000000000100001000000100000000100000000000000000000000000000001010000000100110000100000000000010010010100100000000000000000000010000000001000000000000000000000000001000000000000000100000000000000000000000001000000000000000010001000000000000000000000000000000000000000010000000000100001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010010000000011000011010010001111000000000000110100010000000010010001111000100111100010001110000000111100010011111000000001100000100000000101100000000110000000111100010000111000000011111001011011000010100010000101000100001100000001111000000000110000110000000101110010001111000100101100100001111000000000000001111111000011010010001111100000011011110100111000000000110000110011110101101101001111011110101100000001110000000011110001111011100111100110001111111100000000100000110000000000100001111111100111100000000111101010000000000000000000000010110000110001100100110100000110011100000000000000000000000010110000111010100110100110001110101110011100000001110000000001101000011111100100100100000001100101111111110000000000000010110001110011000111100110111111000000101100000000110000000010110001111011010000000000000000000000000000000001100000000000000000000000000111000000000000000000100000000001110000000000000000000111100000000100000000000000000000000000010000000000000000011000000111101101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010001010000100000000000000000000000000000000000000010000010001001100000000000000000000010000001010000000000011000000000000010000000000000000000011011000001100000000000000001000001000110000000010000000000000000000010101000000000000000100000000001000100010000000000001000010100001000000000000010010000010101000000010000000000000010101010101000000000010000000011000001000000100010001000001000000000011000000000001001001000011000001010100100011000000000000000000000000000000001101000011000100000001001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000010011000001000000011010000101000000000000000000000000010000101000000000000010000000000100100001000000000000000000000000001001001000100001100000000010000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000001000000000000000000000001000000000100001000000000001000001010101000000100000001000010000000000000000000000001001000000000000000000001000000000001000000010100000010000000000000010000000000000010000000000100000000000001100000000000011000100001000000000110000000000010000000000000000010010000000001000010010010001000001000000000000000000000010000000000000000000000100000000000000000001000000000000101101000000000110000000000010010000000010000000001000000000000100010000111001000000010000000100000000000000000000000000101010000000000001001000010000000000000000000000000000000011000010000000000110010000000100010000010000000000011000000000000000000010000001000000000000010010001000100000000000000001000110100001000001000000000010000000100000000000001000000000101101000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000010010000000000000000000000110000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011101110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000010001010000000000000010000000000000000100001100000000000000000000000000001000100000000000000000000000100000000000000000000100100000000000000000000000000000000100010000010000000000000100000000100000100000000000000000000000000100000000000000000011000001000000000000100000000000000000000100010000000000100000100000000000000000000000000000000100000100000000001010001000100100000001010000000000000000000000001000010100010000000000010010000000000000100000000000000000000000000010000000000000000000000000000000000000000000001000000100011001000001000000100011000000000000000000000000000100000010000000000000000000000000000000000000000001000000000001000100100001000000001000000000000000001100000000000000000100000100000001000000000000000000000010000000100000000000000000001010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000001000000000000000000000000000000000000000000010000000000100110001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001000001001010011110110100000100000010000000000000101010100001000000011111011111111110000100000010000111011111111111100001000000011111011110011010000100000010000111111111100111100001000000000111111100000000000100000010000011101110111010100001000001011111011111111100000100000010000001101111010111100001000000010001100010011110000100000010000111110111111000000001000000011000000111100000000100000010000100001111111111100001000000011111111000000000000100000010000000011111111110010001000001000001100111111001000100000010001001111111111111110001000000011111111010111110000100000010000111111111111000000001000000000000000110110000000100000010000100000001101110000001000000000000000111100000000100000010000000000001111111000001000001011111011000000000000000000010000101100110000000000001000000000000000000010100000100000010000010101110001000000001000000000000000000000000000000000000000000000001111111100001000000000000000101010110000100000010000011101110000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111101111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100001000000000001111110100000000100000010000000000000010011100001000000011110011111101110000100000010000111011100011010100001000000011111111111111010000100000010000011100111101110100001000000011110011111111110000100000010000001111110101010100001000000011111011111101000000100000010000001101001000111100001000000011111111000011110000100000010000111110111111000000001000000010101111111100000000100000010000100001111111111000001000000011111010111111110000100000010000000011111111110010001000000011111111111111001000100000010001001111111111111110001000000011110000111111110000100000010000110111111111000000001000000000000000101010100000100000010000000100011101110000001000000000000000111100100000100000010000000000001111101100001000000011111111000000000000000000010000101100110000000000001000000000000000000010100000100000010000010101110001010100001000000000000000000000000000000000000000000000000001000100001000000000000000101010110000100000010000011101110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111011010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111000000000000000000000000000000000000000000010000000000000000011001110001000000001000000110100001110100010000000011001110010111110001000000001000111111111110111000010000000011111111111110110001000000001000010011111111001000010000000000110000000000000001000000001000100001111110111000010000000011001110111111000001000000001000111100000011010100010000000011110000111111110001000000001000010100100110011100010000000001010101111100000001000000001000000011111110000100010000000000001111111100000001000000001000000011111111110010010000000000001001111111001001000000001001001111111010110110010000000000000000111111110001000000001000000011111010101100010000000000000000000000000001000000001000111011110000000000010000000000000000101011110001000000001000001100111111111000010000000000000000000000000000000000001000000000001100110000010000000000000000000000000001000000001000001101000101101100010000000000000000000000000000000000000000000000001110111000010000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100101111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111000000000000000000000000000000000000000000000000000000000000001000110000000000000000000111110011001100000000001011111110010100000000000000000000101011111111101000000000000011111110101010100000000000000000010011111111000000000000000000001100000000000000000000000000111111111111110000000000001011111111111011100000000000000000111100010000111100000000001011110100110011010000000000000000010101110100010100000000000000011011111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111110010000000000001101111111111001000000000000001001111111010110110000000000011001100010111110000000000000000000011110101011100000000000000000000000000000000000000000000011111110000000000000000001010101010000100010000000000000000101110111111101100000000001000000000000000000000000000000000000000001111111100000000001000000000000000000000000000000000001101110101000100000000000000000000000000000000000000000000000000001110111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111100101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000010010110010001101101100010001100011011110000001100011001111000110000000010110000000001011111111000110000000000000000000011110110001100001001000110000000000000000110001100111001110000110000000000001100000000000000000000110110001000110000000010011110010011000100100010000000000011110000000000000000000011110001100110100000000000011011000000110110000000000000000000110000000000110000000010000000010000000000000000001100000011100101110011101001110010000000001110000001001110001100111001010111110100111011110111101100011011100011001000001001111011110000011010101111100011011110011001110111100100001101111001100111100110101101100000100000001010110100101000111100110001010001101000011110100111001110111110110011100000001100110011001001100000110011011011100000001100000000000110000000000000000110000010001100000001000000000100000000010001001100000000000110000000001100110000000100001100000001100110001100000011111100010110000100110000000000001100110001100000000100000010000100000010110100100001000000000011001001000000000000000000000110000000001100110000000000000000100000000000000001100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001011010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000001100000000000000000000000010000010100001001001010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000100000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001000110000000000000000000000000000101000100010100010000100000000100101000101011000101100000000011000101000000000001110011100000001100100111100101001100000010100010000000100100001000000101110000100100000000100000000001000000100000000100000000100010000000010011000100000000100101001000000000000100000000100010000000001000001000000001000000000000000000000000000000000000000000110000000100000000010000000000000001000000000000000000000000000100000000000001000000000000100001100000000010100000000010010010000000000001000000000010000000000100000000010000000000010010000100000000000000100000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101111011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000100000000000000100001000000000000100010010001000000010000001000000100000110001010000000000000000000000010001010000000000000000010000000000000000000100000010010001001000000000000000000000000000000000001001010000001010000000001000010000100000000000000000000000001000000000000000000000010000000010000001000000000000100000000100000100000000000000010000000000000010000000000000000000000000000000000000000000000000010000100010001000000000000000000000000010000000010000000101001000000001010000000100000000000000000000000100000010001000000010000010000000000001001000010000000100000000010100000000000100100000100000000000000000010000000100000000110000010000000000000000000000000101000001000111100000000000010000000000000000000000100101100000000000000000000010000000000000000000001000100000000000000000000000000000000000000000000000000000010000000000000100000000010000000000100000100000000000000100010010000000010000000000000000000100000000000000000000000000001001001001000000000000000000000000100000000000000000000001001000000000010000000000000000000000000000000000010100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001101100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000110001000000000000000000000000000000000000100000000000010100100100000000000000000000010001000001100001000001010000000000000000010000001000101000000100000000000100000000000000000000010000000000001000000000000000010000000000010000000100000000001100000000000000000000011000001000100000000000000001000000000100000100000000000000010000000000000010000000010000000010000000000000000000000000000101000000000001000101000100000100000000000000000100000010001000000000100000000001000000101011000001000000001000000000000000000000000000000000000000100100000000001110000000001000001100000000000000001100000000000001010000000001000011001000000000001001000001100000010001100000100000000000000001001000000000100000000000000010000000000100000000000010000000000000000000000100000000000000000000000000000000000000000100000000000000000100000001010000000000000001000000000010000000000000000000000010000000000000000000000001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110010110001000001110110000111101000010100000000000001000000000000010000100011001011000110000010000100000000000000000000110000000001000000001011001111111000101000000000000000000000000000000011100111110100100110000000110000000000000000000000000000000101100001101110000110011111000000000000000000000000000000000001000000110000000001100000001100000000000000000000000000000000000000000000000001100010000000000000000000000000110000000101100011100000000001100000010000000000000001000000110000010011010011011011000011001100110000000000000001000000110000000001100111100011000111011010100000000000000001000000110000110011000101100000000011010010110100000000000001000000110000010111100011011111100111100110000000000000000001000000000000110100100011110010000001100110110000000000000000000000000000000001100000000010000111111010000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001100000001111100101111000000000000000000000000000000000000000000000001011000110000010100000000000000000000000010000000000000000000000000101101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000101001000000010000100001000000000000000000000000000010000000000000000010000000000000000100000000000000000000110000000000010000000011001001000110000000000000000000000000000000000100000101000000000001000000001000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000100100000000010000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000110000000000100000000000000100100000000000000000000000000000110010000000100100000001000000000000001000000000000000000000110000000000000000100000100001001100000000000000000000000000110000010100000000000000000010101000001100000000000000000000110010000100100010000000000100010000000000000000000000000000000010100000000100100001010000110000001000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000001000100000110000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101100101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100101001000100010000000000000000000000000000000000000000000000000001000010000000010000000000000000000000000000000100000001000000000001000000010000000000000000000000000000000010010000010001011100010000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000001000010000000000000010000000000000000000000000000000100000010000000000100010000000100000000000000000000000000000000001000000000000000010000010000000000000000000000000000000001000001000010010010010000000000000000000000000000000000000010000000110000000000010000000100000000000000000000000000000000000000001000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000001000000000000000000000000000000000000000000000000000100000100001000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000101000010000000000000010000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100010000000000000000010000000000000010000000000000000000000010000010001000101100000000000000000010000000000000000100000000000001000010000000000000000000000010000000000000100000000000010000000000000000000000000000000010000000000000000001001000001000001000100000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000010010000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001110011000100100010000111000000111100000001110011010100000001110011100011010000000000011100001100000000010011011001101100111011100001010000001111111100111011111001110011011000000001111001100111110010001011000000101101111000010011010110000001110000000111010110000010011000111011111001100000000011110000110000000110001101001000000001111101111000111011011001101001110000000100100000001011001100111000100001111000000011110101100011100000101101000010000100111110000000110001010010110000000001110111101000001110111101111101001001111011011010000000111001100000000100100111000000111101001001110011111100010001111101110001111111001100100100100010110001111011111010010000000100100011100000000111111110011100110100011011111001011001110000000110101000001111001010010101101100111000011001101100111000100110101101000101000000110111010000110000000001101000000001000111110010000010000000011001010000000011011001101000110001000111100100001111000000111001101010111000000000100001111000000111100000001011000000011100010001100000000001101000000000000000000000000000011000000000000000010000000000000000000011000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000100000000000100000000000000000000000000000010001001000000000000000001000000000000000001010100000000001000100010000000000100011001000100000000000000010000000000100000000000000010100100001000000001100000000000000000000000000001000000001010010000000001000001010000000000000000000001000101000000000010000000000000000100100000100000010100000010000000000000000000000100001000001000000000010000000000000001000000001000000000010000000000000000010000000100000000000000000000000000100000001000100001000010010000010101010000000000001000000100000000000100010000000100000000000101000000000000100000000000000100000000100010000000000000100000001000001000000000000001000100000100000000010100000100100000000000000100000000000000100000001001100000000010010000100000000000000000100000000000000010010100100000000100001001000000000000000000000000000000000010100000000000000000010001000000000000000000000000000000000010010100100000000001010000010100000000000000100000000000100000000010000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000001000000000000000000110000000010011000100000000000100000000000100100000000000001010000000000000000000000000000000000011000000100000100010000100011000001000010010000000001001000000000100100000100000000100000001100000010100000100001000000000000001000000000000000001001000101000000000000100000000000000000010000001000000000000000000100000001000000000000011000000010000000001000000000000010000001000000000000110001000000000000000000000000000000000001000000000000000001000000000000000001100000000010110000001000000000100001100001000010000000000000000000000000000000001000000000010000100000000001000010000000100100000010010000001001010000010000010001000000000000100000000000000000010011000000000000000000100000000001001000000001000000001000000000000000000000000000000000000000001000000100000000000000000000000001000000000000000000000100000000000000001000000001000001000000000000000010100000000100000000000000000000000010000000000000000000000000000000000101000000001001000000001000000000010100000001000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000110100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000001010000000010000000000000000000100000000100011000000000000000000100010000000000000000000110000000100100000000000000000000000010100010000010000101000010000000000001011000100010000000000000000000000000010000000000000000100000000000000010100000100010000000000100001000000000000101000000000000000010000000000000000000010100100010001000000000001000000000000010000000000011000010010000001010000000000000001000110001000000000010000000100100110000010000001000100011000000001000001000000010000001000000000000000000000010000000000010000010000000000000100000001000100000000000000100000100001010001000000000101000000000000000001000100010000000100000000000001000000100000100010000001000000000000100000101000000001000000001000000000000100000000000000000110000000000000000001000001001000000000000000000000100010000110000000000000000000000000010100110000000000000000000000010000000000000000000100100010000100110000000010000000000010001000000000000000000001010000000001000000000010000000000000010001000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110011110000011011100100100010001111000000111110000000111011110010010001101100000101100000011111000000111100100000111000000011111000100111100100100000001011000000111100000011110001100011111000011100100101100100001110011000111101110001101000000001101100101111101011100010000111100100110111011000110000010011111001001101110111100000000111001000000011111000110010010010010001111111100111101000001111000100110101101001111010110011101000011111100010100110001111000100101101110000001000110011110000110111100001100110000010000000111110110000000001101000100010111110100011100110001111001110001001111100110000000011111001111001110111100110000000011100110000000000011010110000110000110101100000011000000110100100011100110000100000010011100000010111100101110010001111100100011011111001111001101001000001011111100011110000001110110100111110010001110000000000010001111111100111100000000000101000000010010000000011101010010000110000001111010000000101000000111110000001110000000000000001111010000001110000010011100000000000000001111000000001101000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000001000000001000100000000000100000000001000000001000000100010000000000000000001000000000001010000001000000000000000000000000010010000000100001000000010010000000000000001000000010000000000000000000000000001001000000011010100000000000000010000001001000001000100000000000010010000000000000000000000100000000000101000000010000000000000000000000101000000000000010000000011000000001001000000000100000000010000000000000000001000000010100001010010000000000001000001100000001010000001000000001000010001010000000000000111000000000000000000100000001010001000100001010001000010000000000000000101000000001010001100010001001000000000000000100100000000010000000100000000000000010000000000001000000000100000010000000000000000000001000000001000010000000010000000101000000000010010000000100001010000001000000010000000100011000000111000000100000000000000100000001000000010000000000000000000000000000000000000100000000100000000100000000001000000000000110000000011000000000000010000000010000000000010000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101011000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101100000000000001000000010100000000000101000010000001000101000000100010001000000000000010000000000000000000001000000001000100000000110000000000000100000001000000000010010000000011000000001010000110100000101001000000001000010000010000000000000000000110000000000000000001010000001001000010000000000001000101000000100100000000000000000000000011000000000001000001001000011010000100000000010011000010000010000001001001001001000100001000110000000000001000000010000000000000000000000000100000000010010000000000000000000000001010100000000000000000000100000010000000100000000100000000000000000000000000000001000000100000000100000001000000000000000000000000000001000100000010001010000000000100010000010001000000001110000000000000100000001011000000101000010100010001000001010000101000000000000001000011000000000100000010000100100000100000010000000000000001000011000000000000000000001100000000100000000000100000100000000000000000000100000000000000001001000001000000000000000000100000001000001000000000010000000000000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100011111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100010001000000000010000000000000000000100000100000100000000000000100010000100000000000000000001000001000000000010000001000010000010000000000000000000010000000000001000000010000000000100000000000001000000010011000000000000000000000000100000000101000000000100000000100000000010000010000100000010000000001000001000000100100010000000000100000000000010000000100000110001010000000000000000000000000000001000001000000000000000000010001000000100100000000000001100000000000000001100010001000010010000000010000000000000000100000000000000000000000000100001000000000000101000000010000010000000000000000000010000000001000001000000000000000000010000000100000000001100000000010000000000000000100000001000000000000000000010001000000000000100000010100010000000000000000001000000000000000000000010100000001100000000100000000000100000000100000000000010001010001000100110000000000000000000000100000000000000000100010000000000000010000100000000000000100000000000000000000000010001000000100000000000000000000000000000001010000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000001100001100111100100110010000101000000011110010000110000000001111011011100100110100010000011100100111110010011111000000011110001111111100000000010001111000000011001100000010000000001101001011011001011100000000111000000101110010001111000000000000001111100100001110010001111111100011101101000111000000010010000000111101111100000000000100101101111111101100000000001101001110000001011000000011111100100111101101001110000000011110001011111100011000010000100001000011100010001111000000001100001111011100001101110000111001100111100100001110000000010110001111111100111100100001101001000011100110110110000000010110001110101110011100100000111000000000001111001100000000010110011111111110101100110000111101010101100110011111000000010110001011000000100100110000101110100111000100001111000000000000000111000000110110000001110000000010100000001101000000000000000000111100011001111000000000000000001100100011000000000100101110000000110100000001110100000010000100000111000000000000000000000100101100000000000000000001000000001111000000011110000000000000000000100000000000000001000100000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010010000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000010000000000100000000001000000000000100000000000000100000000101000000000100001000000000111000010000000000000011001001000110000000000100001000001001000001000000000000000000001000100010100000000100000000000000000100100001000000000000001001000100001001000100000001000010010000010100000000001000000000100010100000000000000000000000000100000000000000000000000010000000000010000100000000000000010000000000000000000001100000000010100000000000000000000000010000100000000000000001001001000010010000001100000000001000000000000001000000000000100000101000000010000001000000000000011000000000000000000000000001000001000000000100000000000000000110000000000000000000010000001000001000000100010000000000000100001000000000000000001000000000000000000010000000010001010000001000000000000000001000000000100000000000000000000000010000100000000000000000000000001001000000000000000000000000000001010000000000000000000000000001000000000000000000000000010010100100000000000000000000010000001000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001011010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100010010000001001001000000000000000000110000001000000000000000010000100000000000000000010001001001000001000000000001010010100000100000000000001000000000000000000000000000000010000001000000000010000000010000000000100100100010010000000000000001000000100000000100010010010001000010000000000000000000100000000010000010000000000000010010110000100000010000000010000000010000000000000000000010000100000000000010011000000000101101000000110000100001000000000000000000000001001000000000000101000000001000000000010000100000100100000000010000000000100111000000101010000000101000100001000000000010000000000011000001000010000000000000000000000000000000000010100000000010101001000010010100010001000000010000100000000101000000000000100100100000000000000001000000000100001000000000010000000000000010000000000000000100010101000000010000000000010000000000000000000011000000000010000000000000000000000000000000000000000001000000000000000000000011000100000000000000000000000000000000000000000001000000000000000000000100000000010000000000101100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110001000000100000000001010000000000000000000001100000000000001000010100000000001000000000000000001000000000000000000000010000000100001000000000000001000000100000000000000010000000000000000000000010000000100000000000000000000000000100000000001000000000000010000110000000000000100000000000000000000100000000010000000000000000000000000100000000000000000010000000000000000000000001001000100111000000000000000000000000010000010000000000100000010000001100010001000000000000000001000011000000001100000010100001000001000100000000000000100000010001000000010000001000000000001000000000000000000000100001000001001000100001100010000000000000000000000000000000000001000000000100000000000010000001010000000001000000000000100010000000000001010001100000001000000001000010001000000000000000100000000100010000000000000000000000000100000000000000000000000100000000100001000000000000000000000010000000000000000011000000000100010000100000000000000000000000010000000000000000000000000001000000000000000000000000000100100000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000100000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110111101010100000100000010000010101011111111100001000000011111111101010100000100000010000111100001010000000001000001010101010111111110000100000010000010101011111111100001000000001011111110100000000100000010000011001110000111100001000000011011111101011110000100000010000111111111101111100001000000000000000000000000000000000010000001011111111010100001000001001111111000110100000100000010000111111101101100000001000000011111111000000000000100000010000101100111111110000001000000011111111111100000000100000010000011111111111111100001000000000001101011001100000100000010000000011111111111000001000001011110111111100000000100000010000000011111111000000001000000011111100111100000000100000010000110111111111110100001000000011001100111111100000100000010000111101010000111100001000000011110000111011000000100000010000000000001100110000001000001000000000000000000000000000000000000000000011001100001000000000000000111011000000100000010000100000001100110000001000000000000000000000000000000000010000111100001111111100001000000000000000000000000000000000010000010101010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111101110110000100000010000110111010001000100001000000010110111101110110000100000010000110011001010101000001000000011001100111011010000100000010000110111010001000100001000000000111111110110100000100000010000010001010011001100001000000011111111000100010000100000010000000011011111111100001000000000000000000000000000000000010000111111111111010100001000000000111011000111110000100000010000111111101010101000001000000011111010000000000000100000010000101100110000000000001000000011111100111100000000100000010000111111111110111100001000000000001111011001100000100000010000000111101111111100001000000001010111111100000000100000010000000011111111000000001000000011111111111100000000100000010000000111111111111100001000000010111000111101110000100000010000000000110011010100001000000000000000001011000000100000010000010101010100011100001000000000000000000000000000000000000000000000000100011100001000000000001111001011000000100000010000011111110100011100001000000000000000000000000000000000010000011110001111111000001000000000000000000000000000000000010000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011010001000000001000101101111110110100010000000010110011010010100001000000001000111111110000111100010000000010110111000000000001000000001000111001001011101100010000000011110011111000000001000000001000111110101111101000010000000011011101001100110001000000001000000000000111011100010000000000000000000000000000000000001000001001111110111000010000000000000000001000110001000000001000000000001111011100010000000000000000111011110001000000001000111111110000000000010000000011110011111100000001000000001000111111111111111100010000000011111101100010000001000000001000111111111111101100010000000010101010111100000001000000001000000011111011111100010000000000001010111100000001000000001000110011111111111100010000000000100111111100100001000000001000000000011111110000010000000010111100111111000001000000001000000110110011000000010000000000000000000000000000000000000000000000000000000000010000000001111111001101110001000000001000000000001101100000010000000000000000000000000000000000001000000000001110111000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111111110000000000000000111111111111111100000000001010110011111111110000000000000000101110000101010100000000000011111111000000000000000000000000111001001011101100000000001010111011111001010000000000000000111111101111110000000000000000010001000111010000000000000000000000000111011100000000000000000000000000000000000000000000111111111111110000000000001000000000000000010000000000000000000000001111111100000000001000000000111111100000000000000000111101110000000000000000000011111111111100000000000000000000101011111111111000000000000011111101111011100000000000000000111011111111111100000000000010111010111100000000000000000000000011111010111100000000000011000000111100000000000000000000111111111111101100000000000000100111111100100000000000000000100010001110111000000000001010001100111011100000000000000000000110111111110000000000000000000000000000000000000000000000000000000000000000000000000010000000001101000000000000000000101010101101100000000000000000000000000000000000000000000000000000001111111100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001001110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000010000110000000000010000000000001100000000001111000000000000000000000000000000100000000000000000000110000000000111100000000000110000000000000000000000000000000000000000000110000000000000000000000000000000000000000000011011111110000111100000000000000110000000000001100111000011000110000000110001100000110000100000000110000000000110000000000000111100010000000000110000000000000000000000000110000000000100111001110100100100010000100001001100011001100011100111000000110010000110000000000101100111100000000100110110000000001100010001100011111000001111111111011100011111100011001111011010100111010100100000110100000000001100110101100011001111011101000001000001000000001101100001001100111000000001100101000000000000110110000000111100010001100000001100110000000000000000011100000001100000000000000000000000000000000001100000000000111100000110000000000000000000000000000000000001100000000000101101000111100000000000000000000000000000000001001100000000110000000001100000001000110000000000000000110001100000000000000000000000000000000000110001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000001000000000000000000001000000110000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000011000000000000000000000000000000000000000000001000000000000001000000000001010000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000110000001000000000000100001000000010000100000000000001000000000001000000101000000000010000000000000000000000000000000010001100000100000001011100101101100000000100001000000101000000100000010000000000000001000010100101001100000100000000000100000000000100000100000000000000000000100100000000000000000000000000010000001000000001000000000000000000000000010000001000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000001000000000000001100000000010000000000000000000000000000000000100000000000000000000000000100000100000000000000000000000000000100000000000000000000000000000000000000110000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100011101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010100000000000000000000000000000000000000000000010000000000000000010010000000000010000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000010000000001000000000000000010000000000000000100100000010000000000110000000000000100000000010000000000000000100000000000001001000000000000010000000000000000000000001010000000000000000110000010000000000000000000000000000000000000010000000000001000000010000000000000000010000000000010000000100000000000000000000000000000000000110001000000000000011000010100010000000110000000100000000100000000000000000100000000000001000000000000000000000000000000000000000000100100000000010000000000000000100010000000101000100000000000000010000000000000000001000000000100000000000000000000000000000000000000000000000000100100000010000000000000000000000000000000000100000000000000010000001001000000000000000000000000000000000000010100000000000100000100000000000000100000000000000010000100000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000110000000000010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000110000000100100000000000000000100000000010001000101000000100000000000001000000000000000000000100000000000000100000000000010000000000000000010000000000000000000000000000000000000100011001100000000000000000100001000100001001100110000010000000000100000010000000000000100011000000000010000010000000001100010001100100100000100000010100000000000000000100001000001000000001000000000000010000000000001100010000000000000001000100000001000000000000001001100000010001010000000001000000000000000000100000100000000100000001000000100010000000000000000000100000000000000000000000000000000000000000000100000000000000010000000000100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000010000000000000000000000100000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000110000000011100000011000000000000000000000000000000100100000110010000110010000000000000011111000001000000000000010000000111001000000100000000000000011111010001000000000000111100000101001001001100000000000000000000000000000100000000011100000001011000011111000000000000010010000000000000000000111110011111001001111100000000000000000000000000000000000000000100000001001000001111000000000000000000001000000110010110001000000100011000111010110101000000000000001000000000000110011010000110010000110000000000000000000000001000000110000110111110001100010000111001100000100000000000001000000110000110011000000101011000011010010100100000000000001000000110010110101100001100010000010010010000000000011011000001000000000000000100011110000000001100000000000000011011010000000000000000010001011001001000111000000000000000000000000000000000000000000100000000001000001100000000000000000000000000000010000000100100000110000000011100110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100111110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000010010100000000000000000000000000000000000000010000100010001000000000010000000000010110000000000000000000100001000000000000100000000000000000010110000000000000000000100100000000000000100000000000000000000000000000000010000000100000000000000110000100010000000000000010000000000000000000010001000000000000101000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000110010000000000000010001000000111000000000000000000000000000000001000000001000010000000001000000000000000000000000000000110001000010000000000000000000000100000100000000000000000000110000010100000000010001000010101000000100000000000000000000110010000000010000000001000000001000000000000000000000000100000000000000000010010000000100000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000100001000000000000000000000000000000000100000000000001000000000010010110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001001000000000000000001100000010100000000000000000000000001100000000000000000000001100010010100000000000010000000000001100000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000101001010100000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010001000000100000000000000000000000000100100000000000000000010000000000000000000000000000000000000100010000000000000000100000000000000000000000000000000000000100100000000000010000000010000000100000000000000000000000000000001010000010000000000010000000000000000000000000100000000000000010000100000000000100000000000000000000010000100000000000000000000100100000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000110000010000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000011000000000000000000000000000000000000000000000000000000000000000000001001000100000000000000000000000100000000000000000000000000001001000100000000000000100000001000000000000000000000000000000000000000000000000000000100000000001010000000001000000000000100000000000000000000000000010000010000000000000000000000000000000000000000000000100000000000010000010000001000000000000000010000000000000000000010000000001010000000000001000000000000010000000000000000000000000000000100000000000000000000000000010000000000000000000010110000000100101000100000000000000000010000000000000000000000000001000000000000000000000000000000010000000000000000000001000000000010000000000000000000010110100100000000000000000001000000000000000000000000000000010101000100000000000000000000000000001000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001101110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100111110000000011100100011000000000010000000010010000110000000001101010000111000000001100000001100011000010010000110100100100110010011110000000110101100000110011011000000000110000101111110010000000000000101110110000110011001011111001111111100101101101000011111100100100100001111011011100000001011000000111010010000110000000111100000001010011011011111100100001000111101100101010000000011111111001110011111000010000100001011001011100000000001110111100110001000011010011111001110011100110111111001111111100111110100001111011111000100000011111100011100100000110001100111111001001110011000000000000000000100101110110000000001100000000010000000000000001000001100100100101100000001101011100011110110000000010101000000001111100100110100000001111000000111111010001111011111001000000110011001111001100001111100100111100010000110000000001000000111101100101110110001111111100100101000001111011000000000000000001000111100100010011001000001000110000100000000001101001111000000000000100001100001010100100000001101000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011111101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000010000100000000000000000000001000000000000000011000001010001000000000000000000000000101000000000000000000000000000000010000000010010001000000011010000000000000000000000010000000000000000010000000000000010000000100010000001100000000000100000000010000000000100000010010000000001000000001000000000000010000000000010000000000000000000100000000000000100000001000000000001000000000000000001000001000000000000000000000001000000010000000010000000000000001000000000100010010000010000100010001001000000000010000000100000000001001000011001000000000000100001000000000000001000010000000000000010000000000110000000010000000000000000000000000000001000010000100000000000010000001000111001000000000000000000000001000000001000000000001000000001000000001001000000100000001000000000010100000001001000000001001100000000000000000000001100000000100010000000010000001000010000001100000000100000000000000000000000010010100000000000001000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110110011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010001100100000000010000000100000000001000000001000000001000000000000000000000000000000100000010100000000000100010000000100001000100000100000000001000000010000000100000000010000000000100000110000000000000000001000110000000100001000001001011000001010000000000010000011000000101000000100000000000010000000000001000000000000000100000000001000000100001000000000000001001000000001000000000000011001001000010100000000000000000000000000100000000000000110000000001000000010011000001000001000000000110100100000100110000100100100000000010000000000010000010000000100001000001100001000001000000100000000000000000000001000100000000000000000000000000000000000000000001000000100001100000001000001000000001000100000000100000000010010010010001000000001000000000110001010010010001000000000010000000000100000000010010010000001000001010000000000000000000000010000000011001010100000100000000000001000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000101000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101101010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000100000000000000000100100000000001000000000000000000000000100000100001000001000100000000000000000001000000100000000000000000100000100001000000000000000000000110010000100000000100001001000000000001100000000010010100000000000001010000000000000000100000000010010100000100000000001100000000100000100000000000001010000001000000000100000000110000000001100000000000000000001000000000001000000000000000000101100000000000010000010010000000000100010000000100001010010000000100100000101000000000000001010000000000100000000100001000000100000000000000000000000000000100100000000000000010000000100000000000000000000000000000001000000000001000001000000000000000000000000000000000100000001000000000010001000000010000000000100000010000000000100010000000001000000100001000000000000000100000000000000100010010000010010000000100011000000010000001010000000100000000000010000100110000000000000010000000010100000000000000001001010000000000000000000001000000001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100110100000000011000000000000000000000000100000000000110001100010000000001110000000000000100000110001101010010000011100100111100000001100000000001100000001111000000001111000100000000011100110001100011000001100000010011001101011110011111111100000011111000110111100011110110000111000100010110001111000000110100000001101000101011001101000111010010001111001111000000111100010001011111100000000010000110000000010110001111001010101011111101100010100111100110001111010010010110100111000000000100100001111001100110110010001100001110000110001010000000100000010001111000100001100110101111000100000010001111011100001111110000000000000111000010001111000110000000001100001110101110100000000010100100100110001111010000000010001110100100111100000010111000000110010010001111000000010010001111000000101110010001111010100001110010000110000000000000000110011000011111000000000000000111100000001111001000001111001111010000011100000000000000000011001101000101000100000000000000000001011001000000011000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101001000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000010000100000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000010001000001000000000000100000000000000000010001000000000000000000000000000010000000010001000000000000100000000000000001100010001000000000000000000101000000010010100100000000000000100010000001000000000010000000000000010000001000000000000000010000000000010010000001000001000000000000000000000000000010100000000000000000000000000001000000011010100101000000000000100001000000000000000010001000000110000000000000000001000000000001000000000000000100101000000000000100010000000000000000001001101000000000011000000000000001010000100101001010000000000000010000000100010000000000010000000100100001000000000000000000000000000010000010100000000000010000001000000000000000100001000000000000000001000000010000000000000000000000000000000000001001010000001000000000000000010000100000000001000010001000000010000010000000000000000000010000010010000000000000000000000001000000001001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110001000000000000000000000000000000000000000100000000000000000000000000010100000000000000000110000010000001000000000000100011000000001000000000010000000011000000000000000110000000001000000010000100100000010000000000000000000000101101000110000000011000010000000101010001000000000000000100100101000000000000000000010100000010000010000000000001001000000100100000000001100010000100010010000000000000000000000000100000011000000001100100000100000000000000000000100000100001000000000000000000000000011000001000001001000010100000000010000001000000000100000010000010000010000100000000100000000100000000010000000000000100000000000000001000000000100000000000000010100000000001100100000000000010000000000000100000100000000000010000100001000000000000000000000001000010010000000001000011000000000001000100010010000000010001000000001000000000000010000001000000101000000000000000000000000001000000000000000010010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101100100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000000000010000000000000000000000000000000000000000000001010000000000000100000000000000000000100000000000010000000001000010000000000000000000010000000000000000000000010000000000000100000001000000000000010000000000000001000000000000000000100000000010000100001000000000010000010000000000100000100000000100010000000000000000000000000100100000000000010010001000000000000000000100000010000000010010001000000000100100000000000000000100100000001001000110000000000000100000010100000000000001000001000000001000000000100000000001010000010000000000000000000000000000000001000000000010001000000000010000001001001010000100000000000000000000100000000000000000000000000000000000000000000000000000000001000100000000000000010100100001000011000000000000000000000010100000100000000000100000000000000110000100000100001000010000100001000000000000000000100000000000000000000000000000000100000001010000000000100010000000000100100000000000000000000100001000000000000000000000000000000000000000000100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010011010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000011000010000000000000000000000000010000000000010000000100100011110010000000011010111000010000110000011101111001111100100000000010000011000000010010000000110000011111010000000100100111100000001111011000011010110000110000000010010001111111100000001011001110111100001101111000010000010001101001111100100001100010001111100100101110010001101000000010010000011111110111110010000000111101111100000000110000000000100001111011110001101101100110101100101101100100110000000000010000010011001111100010000110110000001100010001001000000000100000011111000001011111101111101100111101111001100000000000010001111111100001100100000111000000000000010000000000000010010001110000000010100010001110101110101101101000011000011010010001111100100110110010001001011000110000100001001000011001100001111001001101100100001101000000111100000000110000000000100001100101110001100100101100000000100100100001111000000000000001111001000011010100001111000000100100100000010000000001100000000000000011000000000000000000011000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011101001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000001000000000000000000010000100000100000000000010000010000000000000010100000001100000000000000000001000000000000010000000000000010100001000000000000111000000100000001000101000000000000000000001000010001101000000000000000000001000000000000000000000000000000100101000100000001000010000010000011000100100000000000000000001000001100000010000000000000010000010000000000000000000010100000010010001100000000000000000000000001000000000000000000000000001000100001000000001001000010001000010000000000000000100000000010000000000100000000000010010010000000000000000000100000100110010000000100000000000000001000000000000000000000000011000001000000000000010010000000100010010000000000000000010000000000100000000100000101000000010000100000000000000001001000000000010000000001100000001000100000000000000000000010000000000000000000000000000000000000000000100000000000000000100000000000100000000100000000000000100000000001000000000001000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000001000000001000000000000000000000000000000000000000000000010000000000100000000000000000000001000000000001100010000100000100000000001000000000000000000100010000000001100110000000010000000000000000010100000000000100010000000000000100011000000110000000001010011110000000110000000001000000000000001000010000000000000011000010000100000100000010000000001000000000000101000001000000000010010000000000000001000000000000000100000000000000000000000000100011000000000001000000000000000000000000001000000010000010000000000000000100000000010000000000000100000000100010011000100100000000010100000000000001001000010000000010000010000000000000000000000000000000000100001000000000000000000000011010000001000000000000000000000100000010000100011001000001000000000000000000001000000000000000010010100000100000000000100000000001100000010000000000000000000100010001000000000010010000000000000000001000000000000000001000000001000011000001000000000100000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000011000000000000000000010010000001010000001000001000100001000000000000000100000000000000000000100000001000000000000001000000010000010001000000000001010000100000000000000000000000000000000000000000000101000000010000000000000100001000000000000110000000000100000000000000000100000000000000100000100000000100010010000000010000001010000100000000000000000110000000000000000000100100011000010000000100000000000000100000010010001000000000000000000000000100000000000000000000000000100000010010000100000000011000000100010000000000000000000011000000000000100000000010000000000000000000000000000000100000000000000100000010000000000000010000000000010000010100100110000001000000000100000000000000000011000000000000010101000000100000000000001000000000000000000000000000100000000000000100000001000001000000000000000000100011000001010000000000000001010000010000000000001010000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110101011111111100001000000000000000000000000000000000010000010111011110010000001000001001010101110111010000100000010000101011111100110000001000000001010101111110100000100000010000010111111111000000001000000011111111001101010000100000000000000000001111111100001000000000111111111100110000100000010000011101111111111100001000001000000000001000100000100000010000011000101011100000001000000001000100111100000000100000010000000011111111000000001000000001010101111100000000100000010000000011010010011100001000000010111011111111110000100000010000111011101111011100001000001011110101111100000000100000010000000011111111000000001000000011111111111100000010000000010000000011110100011100001000000011101110010111110000100000010000111011100101111100001000000011111100001110110000100000010000110111000111011100001000001000000000000000000000100000010000111100001100001100001000000011110000000000000000000000010000000000001111111100001000000000000000000000000000000000010000111111110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011101000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110101011110110100001000000000000000000000000000000000010000000110011010101000001000000000001111100010000000100000010000000001011010101000001000000000110011010100000000100000010000000010101010101000001000000000111111001101010000100000000000000000000011001100001000000000001100110000000000100000010000010111110111111100001000000000000000001000100000100000010000111010101100110000001000000011110100111100000000100000010000000011111111000000001000000011011000111100000000100000010000101011010101010100001000000000111011111011110000100000010000110011001111001100001000000000000101111100000000100000010000000011111111000000001000000011110101111100000000100000010000000011110100011100001000000011111010011101110000100000010000111110100111011100001000000011101110011111110000100000010000111111100011111100001000000000000000000000000000100000010000000000001100001100001000000001011010000000000000000000010000000000001111110100001000000000000000000000000000000000010000011111110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000001000110110101010101000010000000001010101110110000001000000001000000110111100110000010000001000110011010100000001000000001000001001111111000000010000000011001011001100110001000000000000000000000100010000010000000000011101100010000001000000001000111101000101111100010000000000000000000000000001000000001000111111110011010100010000000011111011101110110001000000001000000110111111000000010000000011011010000000000001000000001000111001000101010100010000000000111011111111110001000000001000110111110111001100010000000000000011111100000001000000001000000011111111000000010000000001011111111111110000000000001000111100001100100000010000000011101110011101110001000000001000111011100101111100010000000011101110011111110001000000001000111111010011111100010000000000000000001111010001000000001000000000001010100100010000001001111111000000000000000000001000111101010000000000010000000000000000000000000000000000001000000000001000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101011000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000110100001110010000000000000000110011110110000000000000000000000110111010101000000000001000001111111110100000000000000000001001111010101000000000000011001000000011110000000000000000000000001110111000000000001000011101101110110000000000000000010101010111011100000000001000000000000000000000000000000000111111000000111100000000001011110011001100110000000000000000001001111111000000000000000010001010110110000000000000000000101010100010011100000000001010111011000011000000000000000000111111111111111100000000000011011101111100000000000000000000000011111111000000000000000001011111111101110000000000000000111111111100110000000000000011111010010111110000000000000000111110100111011100000000000011111100001110110000000000000000111011000111011100000000001000000000000011010000000000000000000000001010100100000000001001111111000000000000000000000000010101010000000000000000000000000000000000000000000000000000000000001000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111011001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000000000000000000000000000000110000000010111100110010010100000000001100001000000000001100001001111000000000000000000000000110000000000000000110000000100000000010000000111000000000000000000000000000000000000000000000000010110000000000000000000000011000110000000000000000110000000000000000011001110000000000000000110000000000010001000000000011000101111110111111000010001000110000000010000000110000000010111110000000001110000000000000010000000110000000110000000000000000000110011100000010010000011111100111000000001100000010110100101010100101000000001100011000000000111111001001111000110101111110111100000000001100011111000000001100011001111010000100110010001010000000000100011100000001101100010001111000110110000000001110000000000000000100000001100110000000000000110000011000001100000000100000000000000000100000001100000000000000000000001100110000000000000001000000000000001100000000110010000000000001000001100000001100000000000000001001100000000110000000001101000000000000000001000000000000001100000000000000000000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000000000000000000000000000000010100000000100000000000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000110000111000000101000001000000001000000000000000001000000010000000000001000001000000000000000000000001000000000000000000000000000001101000000000001000110011100100000000010000000000010010000000010000000000000000000000001000000000100010000000000000101000000100000000000001000001000000000000000000100000011000001000000001000000000000100100000010010100001000100000000001000001100001000000000000000100000000100000000000000010000000000110000100000010000000000000000000100001100000000000000000000000010000000000000000000000000000000000110000000000000000000000000000001000000000010000000000000000100000000000000000000000010000000000000000000000000000000001100000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110110101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000010000000010010010000100000000000000000000100000000000000000100000001000000000000000000000000000100000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000010000000000000000000000010000000000000000001000000000000000000000000000000110000100100100000000000000010000000000000000010000000000101010000000000000000000000000000000000000100000000100000000000000000100000000000000000000000000000001000000000000000010000001001000001000000000000000000000000000000100000010001001000000110000010000000000000000000000000000000000000010001000000001001000000000000000000000000000000000000000000010100001000010000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000010001000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000010000000000000000000000010000000000010001000000000000000000000001001000000000000000000000000010000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000000000001000010000000000000000010000000000000000000001000000000000000000000100000000000000000000000000000000000001000101000000000001000000000010000000000000000000000000000000001000000000000000010000000000000000010000000000000000010000000000000010000000010000000010000000100000000010000000001000000001000000001100011000000000010001000001000010000001001100001100000000001100011001000000000101011001000000000000000000001000000000000100010000000001000000000001000000100000000000000000000000000000000000000001010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000100000000001000001000000000000000000000000000000000000000000000000100001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010001100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110010110101100001110010000111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100111111101001100110000000000000000000000000000000000100000000001001000000000000000000000000000000000000000000000000000000100000000001100000001100000000000000000000000000000100100001110100010001110001111100000000000000000000000000000111100011110110000111111000000000000000000000000000100000000100100011111001000110000000010100000000000001000000110010110010000100110111000111111110001100000000000001000000110000110100100011000110000011000100000000000000000001000000110000110101100101111011000111011000100000000000000000000000000000000111000001000110000000010010101100000000000000000000000000000000100000000001000111100110000100000000000000000000000000000000100001000000000000000110000100000000000000000000010000000000100100110111100001101000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110110001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000101001000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000001000000000010000000000001100000000000000000000000000000100001000111000000100000110000000000000000000000000010000000000001001010000000000000000011000000000000000000000110010000100000100001000010001110010010000000000000000000000110010100000000000001000000010100000000000000000000000000000110001000010000010000001000001000010000000000000000000000000000000000100000000001000000000000010010000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000001000001000000000000010001000000000000000000000000100000000010000100000101010000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000001010000000000000000100000010000000000000000000000000000000110000000100000000001000000000000000000000000000000000000000010000001000001100001000000000000000000000000000000000000000000000000000000010100000100100000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000110000000000100100001100000000100000000000000000000000000000010000100000000000000000100110000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000001000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000100000000000000000001000000000000000000000000000000000100000000100011000000000000000000000000000000000000000000000100000000000000000100000000000000000000010000000000000000000000000000001000000000001000000000000000010000000000000000001001000000000100000000100000000000000000010000000000000100000001000000000000000000001000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000100000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000000000000000001110111100010110000010111100100110100000001110000000000010000000100000000010000000000100100000000000000000011011000000001110000000001111101001111111100000101101000111011111001110101010100100111101101000111000000110100000000100011000011111101111100100100101101001101100100010000000001110011001000000001111111011001101100001111100100001000000001110010001000000000111000000001111101100110001000101000100001100011010001111001111011000001111110000101011100111110010001110011011011100101111101110111101001001111101100001010110000111000011011100001110111101101111101101100001000101110000000010001111010100000010001110111110010000100100100101000110001110001010001101000010101100010100000001001000100111100000001111000011000000001111011000110100000001111011110111100000001011001111000100000110000000110101101000101000000111100000001010000000000000000110011000001110010000010011000111111110100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000011000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000100000000001000000100000101000000000000100000001100000001000000000000000010010100000000000100000000000000000000001000100000000100000000000100100000000100000000000000000000100000100000000010000100001001000010000000000000000001010000000000010000000000010000000000000000000001000000000000000001000110100010000010000000101010000001100101000000000000001000100001001001000101001000000010000010000000000000010000000000100001000000001000000000000000000000000000000000000000000000000010000001010001010000100000000000100001000000000000000000000000000000000000000010000010000000000000110000100000010000000000010001001000000010000100001000010100000000010000000000000000000000000000100000000010000000001000000000000000000000000000000000001000000000100000000001001000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101100010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010010000000000000000000000000000010101000110000001000010000010000110000000010011000000000010000000010000000000100000000000100000000000000000000100000000001000000000000001000000101010000010000000010000000000000001001000010000110100000010000000000001000000010000000100000100011000000100100010000001000010000000000000011001010010000000001000010000000000000000100000100000000000001000000010000000000001000000000101000000000001000000000000101000000010000000000100001000000001000000000000000000001010001000010010001000010100000100011000000001000100101000001001100001000000000100010100001100000000100000010100001000000100000000000000000100000000000000000001000000000010000000000000010010010000000000000000110000000000000000100000000000000000001000000000000000011000000000100000000000010000000110000000000101010000100000000000000000000000000110000000001000000000000010000000000000010000000000010000100000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000001000001000000001000100000001000010000000100000000000010000100000000000000100000001000000000000000000100000000010000000000001000000000000000100000000000000000000000000000001010000000000001000110000000000010000000001000000000000000001000000000000000000001010000000000010000001000000001000000000010000001010001000001000010000100010000100100000000000000000000000000000000000001100000000000000000100000000010001000010000100000001010000000001000001000010000010000001100000000000000000000000110000001000000000000010011000010000010001000000010001001000000000000000100000010010000100010000010000000000000000010100000000000001000100010000000000000000000001000011000000001000010000000000000000100010000000010000000000000000100000010010000000000010000010010010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110011110000000111100000100000010111000000111100000000110000100000000000000001000000000000000000000000000010010000000001101011111100110011000100100000000100000000100110110001100000010000000001101000000011010110001101000000111110110000111000000010110001011011000111100000001011011100101101101000001000010010010000111011000011101000000011101101111100000011111010010010110000011001000111101100001101100100011010000001111001000001000001111100100001010000001111000000101110010001111010100001100000011001100101000100001100100000101100000001001001010000110000101101110101000010001100000001111100100011111000010010100001111001110011000000000000000100111110010001110011010000000000110000000011000000011011000100101100000001110010110000000000110100100111100000000111000000101010110001010010010000000000100000000001100000001001000000111110010000010000000000000000110000000011100000001100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010110101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000010000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000100000000010000000000000000000000000010000000000101000010100000000000001110000100000000000000000100000100010000010000010000000010000000000100000000000000000001001001000000010001001000000000100000000010000000000000000001000000001001000001010000000000100000000001100000001000001010001000000000000000100000000000000000100010011000010000011010000010000001000000000100000000000000000001000001001001010010000000000000000000000000000000000010000100100001000000000001100010000100000000000000010000101100000000001000101000000000000000000000010000001000010000000000000000000001000000000000000000000101000000001000000000000001000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101100000000110000000000010000000000000000000010000000000100000000000000000000000000000000000000000000100000000000000001000000000000000001000000000000000000001001000001000000000000000000010000000000001000010010000000001011000000000000000010100000010000000100100000001000000001011010000000000000000001000000000001000000000000000000010010001000000010100001000011000000000000000000000000000100010010000001000001001000000000000011000010000000101000010010000000001001000001000000100000000000000000000000000000100100000100000100000001000000000000000010000010001100000000001000000000110000000101000000000010100000100000000000000000000000000000100001000010010001000000000010000000000000000000000100000001011000000010100011000000000010000000100000000000010000000000000001000100101000100000000010000000000000000000000100000000000000100000000000000000000010000000000000000000010100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001100110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000100000000100000000000000000000000010000000000000000000000000000100000000001000000010100100000001000000000000000000000000001100001000000100000000100000000000000000100000000000000000000100001010000000000100000010000000001000000000100011000000000000000000000010000010100000000001000100000000100010001000000000000000000010000010000100000010100011000000001000000010000000000000000000000000000000000100000000000000010000001010000000000000000000000000010000000010000010000000000000000000000000000000000000000000000000100000000000010001000000000000000000000000000000000000000100000000010000000000000000000000000100000000000000000000010000000000000100000000000000000000000000000100000000000000000010100000100010000010000000000000110000000000000100000000000000000001000100000000000000000000100100000010000000000000000100000000011000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000011110001101011000011110000001110000001001100000001110000000010010000000111100000000000000000000000000010010000000000000000010001111000100011100000001111000100110100000001110000000001100101111100000111100000011111000000011000000001111000000010010000110001000111110010001110000000110100100001110000000000010001111010000011100100001111100000010000000001110000000000000000110000000111111110000101010100101101001000101000000000000001111100000101101110101110001000011100000001110000000011110101111111101011011011001111001110111011111000111000000001111001111001110111100100000011100100101111111101110000000001110001110111100000111101001110111100101110110100111000000000000001011100000101100100001110001000101000000001111000000000000001111011010011100100000111001000001011110100110000000000100001101000000011100000010011000001111100000011111000000001100000000000000100111110000000000000011000100000010000000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101111110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001001100000000011000000000000100000000000100000000010000000001000000000111000000000000000000000000000000000000000000000000000001000000000101000000001000000000000010000000101000000000001001001000000001010000010010000000000010000100000000000001000000000000000001010100000010000000000010000000001000000001000100000000010000010000100000000000100000000000000000000000000000000000000100001001010000001000000000000010000000000000000100000000000000000001000000000001010000000000001000000000101001001010011000000000010010010001001000000010100000000001000001100010000100000000001001000000011000100000001000000001001000000000010010000010000100101100000000000100100000000000000010001000000000000000000100000000000000000001001000000000000001000000010101000000010000000000000000101000000000000000010010000000000101000000100000000000100000000100000000000000011000000000000000001011000000000000100000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000110100000000000101000000101000000000000000000011000000001000000000010000000000000000000000000000001000000000000000000000001000000000010000000000010000000110000000000010000000000000001000000100100000000000100000001000000000001000000000000100000000001000011001000000100000000001000000000100000000000000001000000000000000000001000010000000000000010011000000000000000000000000001101000000000000000100100000000000000000000000011000010000001000000010101100000000000000010100000000001000001000000100000001000000011000000000000100100000000000000000000011000000011100000100000000100001001000011000000000000000010010000110000001000000100010000010000101000000000000000000001000000100000010000001001000000001000000000100000000000000000010000000000010000000001100000000000100010001000000000000001000000000000000000000000000000000000000001000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010011000000100000000000000101100000000000000000000000000000000001000000000001000000000000000000000000000000011000000000000100010000000000000000000010000000100001000100000000000000000001010000001010001000001010000000000000000000000000000000000100100000001000001000001000100001000000000100000000000000000000010000000100100010000000000000010000000000000000000000000001000010000000000010000000000000000010100000100000000000001000000000000000000000000000001000000000000000000000000001000000100000010000000000000100000000010010000000010000000000000000000000000001110000000000010000000100000000001000000000000010001000001000000000001000000000000100000000000000000000000001000000001000000000000000000000100010000001000000000000000000000000001000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101100010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011100000100000010000010101011111111100001000001000000000000000000000000000000000000000000000000000000000000011111000011111110000100000010000111111101111101000001000000011111100110110000000100000010000010101010000010100001000000011001101001011110000100000010000011111111111110000001000000011001100000000000000000000010000001000101111110000001000000011111110010100100000100000010000111010101111111000001000000000011101000010100000100000010000011001001100101000001000000011001100111100000000100000010000000011111111111000001000001011111111111100000000100000010000000011111111000000001000000011001111111111110010000000010000000011111010101000001000000011111101110110000000100000010000001100111011110000001000000010000100000000110000100000010000101000101111110000001000001000100111000100010000100000010000100100010000001100001000000000000000000000000000000000010000101010100001000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111000001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000100000010000110111010000000100001000000000000000000000000000000000000000000000000000000000000000000011111111111111110000100000010000111110101110111000001000000011110101101010100000100000010000111001001111001100001000000011001100111111110000100000010000001110111110111000001000000010101010000000000000000000010000111011101110111000001000000011111111010101110000100000010000111111111100111000001000000000110011111110100000100000010000111011001111000000001000000010111000111100000000100000010000000011111111111100001000000001000111111100000000100000010000000011111111000000001000000000000011111111110000100000010000000011111111111100001000000011110101110110000000100000010000010101011011000000001000000011011110110111010000100000010000111001101110111000001000000000100111110011110000100000010000110101011101110100001000000000000000000000000000000000010000111100001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000011101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100001000000001000101101111110110100010000000000000000000000000000000000000000000000000000000000000000000001010111110011100001000000001000111111111101111100010000000001010101010001110001000000001000111101110101010100010000000011111111101010100001000000001000011101110101110100010000000010011111000000000000000000001000000000001111111100010000000011111110110010100001000000001000111100001110110000010000000001011111111100110001000000001000101011001000101000010000000011101110111111110001000000001000110110101100110000010000000010101111101100110001000000001000111110101100111000010000000011010101010111110000000000001000010101011111110000010000000010111111110011000001000000001000101111001100111100010000000000100010000111110001000000001000000010100010001000010000000000000000110110000001000000001000010001000000000000010000001000000000000000000000000000001000110101010000101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100111111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000111111110000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000001011110111111111100000000000000000111011111101111100000000000000011011001100110000000000000000101100110001101100000000000000000100111100000000000000000000001111111111111100000000001001011111000000000000000000000000000000000011001100000000001011111010101011000000000000000000111111001110111100000000000001110111000001010000000000000000111100001100010000000000001011101110010111110000000000000000110100001010101000000000000010100000001100110000000000000000111111101111111000000000000011111111011111110000000000000000111111111110111000000000000000111111101010100000000000000000101100000001000100000000000001110111000111000000000000000000010111110010001000000000000000000000110110000000000000000000010001000000000000000000000000000000000000000000000000000000110101010000101000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000000000000000011001000000000000000000000011000000000000100000000011011101000010000100000000000000000000000000001100001001111000110111111110111100000110000000110000000110001100111000011000110110000000000000000000000000111100000100000000001100000000110000000110111100000110000000000000110000000110000000000000110110000000001100000000000000000001000000100000000000000011111111100110110000000001100000000100000000000000000000000001000010001000000010110011010000011111101010000000000000001001000100011000001100000000100000000011000000100000000000000000110000000100000000100011000000001111000110001000000001100000100001110100000100000000001100011000100011100000000000011001100010000000000000000001101100010000000000000000001101100000110000000000000000000000000000000000000000100000001100000000000000000000000000000000000000000000110001100000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101100110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000010000000000000000000000000000000000000000100000000010000010010000000000000000000000000001010100100000100010000000000000000000000000000000010000000000000000000100000000000000000001000000000001000000000000000000000000000000000000000001000001000000000000000000000000001000000000000000000010001000010000000000001000000000000100000000000000000000000000000001000100000001000000001000000100000000000000000000100000000000001001000000000000100000000001101000000000000000000010000000000000000000000000000000100101100000000000000000000010000110011000010000000000000000000000001000000000000000100000000000000000000000000110000000000000000000000001100000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000001001000000000000000000000000000000000000000100010001001000101001000101000000000100000000100000010000000000100000000100010000000000000000000000000001000000010000000000000000001000000010011010000000000100000000010010000010010000000000001000001000000000000000000000000000000000000000000000000000000101001000100000100000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000010010000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011100001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000010001000001000010000000110001000100000010000000010000000000000000010001000000000010000000000000000000000000100100000000000000100000000000100000000000001000000000000000000000000000000000000000000010000000000000100000000000000000000000001000000000000000000000001001000000010000000001000000000000000000000000000000000001000000000000000000000100000000100111000000100000000000000000010000000010000000000000000000000000000000000000000000000000000000000100000000100011000000000000000000101000000000000000000000000000000000000000010001000100100010000000000001000001100010000000000000000000010001000100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110110001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000110000000000000001001111100011101100000000000000000000000000100000000101000001111011001011000000000000000000000000000000000000000100100011100011000001100010110000000000000000000000000000000001100011110011000111100000001100000000000000000000000000000100100000000011000001100000000000000000000000000000000000000101000000111011000111100110111100000000000001000000110000010001000011110000000001111000001000000000000000000000000000000010000011000001000001111000111100000000000001000000010000110100100111110011000000000000111100000000000001000000100000110000100011101000000101101010101000000000000001000000010000110000100001111111010111110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000110000000000000001000010010000100000000000000000000000000000010000000000101001010000100001000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000001000100000000010000000000000100000000000000000000000000000010000000000000110000100000000000000000000000000000000000000000001000010100001000000000000100000000000000000000110010000000000100000000010000100110100000000000000000000000000000000100000000000000001000001000110000000000000000000000100010100010000010000000000000000000001100000000000000000000010001000010000000000000010000100100100000000000000000000000100001000010001000000101010000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011100001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000010000000100000000000101000000100000000000000000000000000000000001000111000000001100000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000010001000000100001100010000101000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000010000010000000000000000000100100000000000000000000000000100000000010000100000010010000000100000000000000000000000000000000000000000010001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000111001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100010000001100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000101100000000000000000000000100000000000000000000000000000000000010000001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000010000000000000010000000000000000000000011000000000000000000000000000000000000000000000000000000000000011000001000001000000100000000000010000000000000000000000010000101000000000000000000000000000010000000000000000000000011000000000000000000000000000000000010000000000000100000001001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000011110100000000000010010000000000000000010010000000000000000000000000000000000000000001111000000000000000000011000000111100000001111011011000010000111110000011000000001111000000101100100000111011011001110000111100100111010010000011011000111101101100110001111010010000111111100101100100000110100100111100000000110001011011110101110011000011111010000111111100111100000000100011011000100011110001000111101100000110001000111001010001111000011101110100110011000101110010001111111110110110010001100011011011110000111011000111101100101100111100111100100000101011101000110000111100000100111111101010001000111100000001001000000011101000010111100011000000000000011100011100100000110000000000000001110111100011100000001110011000011010010001110000000001100101111000000111100000001110000000111100000001111000000000000000000011000000000000000000000000000000100000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000100000000000000000000100000000000000000000000000000000000000000100000000000000000000001000000001000000000100000000000000000010100000010000010000100001000000000000000100000000000000001001000000100101000100010001100010100000000000100000000000000100000000110000000000000000000000100000000000000000000100101000000000010110000001001000011000100100000000000010000000000000100000000011010001000000000000000011001001000000000100001000000101000000000100100100010010000010100000010000000000111001000100010101000001000000111000000010000100000000110001010100000000000000000000000000000000001010000001000000000000000000000000010101000000000000011000010010000000000000000000000000000001000000010000000000100010000010100000000000000000001100000000000000010000000000000000100000000100000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001001000000000000000100000000000000000000100000000000000000000000000000000000000000001000000000000000000000001000000110000000001000000100000000000000010000000000000001000000000000010000010000001010000000000000000100000000100000000000001001000000000000001000000110000000000100100000000000001010000100000000000000000000000100000100000001000000100000000010000100000000000000000100010000000100100000001000000010001000001100000000000101000000000000000001000000000101000000010000100011001000001000000100001000000000000000010000000000100000101100000000010000000000000000010000000100100001000000010000000100000000000100000000000100000000001110000000000000000001000000010000000000000000000000001000000110010100000000101000000000100100001000000000000000001000000000000000000011000000000001100000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000010000000001010000100000010000100000000000100000001000000000110010000000010000000001100100010000000000010000000000000000001000000000100001000000000100010010000011000001001000100001010000000100100001100000000000101010000000000100001100010000010000000100000001100000000000000000000000001000000000010000000000000100000000000000010000001000000100010000000010000000000000000000000000000100000110000000000000010000000001000000100001000000000000000000000000010000000100000100000100010001000000000000000000000001000000100000000000000000000000000000000100000100010000000000000001100010000000000000100000000000000000000001010000000000000001010000000100110000000010000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000111100000000000000000000100000100000000001000000000000000000011000000001100100000000000000110000000001110001001110000000100100000010010001111000100000110000000011000011111111010111000000000001101000110000000001101000011011000011101100101011000000001111011001110000100000100001101100100011100000001111000000011111111000100000000010000001100100100111100000010111100100111101001010011001000011111001110100100101101100100111000000111101111001010010000011111000011001100101100000001111000000001010110001110000000000000001111000001111100000001110000000111000010000011000010000000011111111100111000000001111100100111110110001011011110000000000000011100110100000000111000000000010110000000011100000010000110111100011101101100011010000000010110001111000000010010001111000000111111101000000000000000000000001111000000000000000000100100000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000101000000000001000000000000000000000000010000001000001000000010000000000000100000000010000000000000001000000010000010000000000000000000000101000000000000100000001000000010001100000000000010000000000000000010000010001100000100000010000001011000000010010000000000000000000000000000000100100100000010000000000100000000100000000001000000000001000000000000001010011000000100000000000000000000000000010001010000000000000001001000000000000000000000000000000000100000000000100100000000000000000001010100001000001000000000100000000011000000000001100000000000010100010000000001000000000000001000100000000010000000000000000100000000000001000000000000000011000000000001000000010000000110100000000000000100100000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101011100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000001000000000000000100000000000000101000110000000000000000000100000000000000000000000000000000000000001000000000000001000001000000000000000000010000100000000000001000000000000111000000000000000000100010100010001001000000010000010010000000000000000000000000100010010000100100000000000000000000001000010000100000100000100100000001000000000000000100000000000111010000010000000000000001000000000001000000001000000000100000000000001000000000000000100000111000000000000100010000001011000000010000101100000000000000000110000000000000000000000011000000000001000100001010000000111000000000000000000000000000100001000000000000100001000000000000001000000000000000000000000001000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000001000000000010000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000010001010000000000100000000010000100000100000000000000000000000000000000000001000000010010000001100000000010000000010000010001100000000000000000000000000001100000000000000000000000010001000000000000000000000000000000000000000000000000010000000000000000000001100000000001001010000000000000000000100100001010000000000000100000000000000110010000000001000000000000000100010000000000000110000000000000000000011000000000000000000000000000000000000000001000010000010000000100001000100100000000100000000000000000000011000000000000100100000000000000000000000000000000000000100000011000000000000100000000000000000001010000000000000001010000000100110001000000000000000000000001010000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111101111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000010000000000000000110000000111100000010010000000011000000000010001110000000010010000010011100111100000000001000100111101000001010000000000010001101100100011110000011111001010001110010000110000000010000001000000000111001010001111011000001101101101111000000001100001111000000111011110000011001000111110110001111000000001100001110011000011011011000111010000111010010000110000000000110001111111110101110000010111110000000011010001110000000010110001110010100111101101110111101100111100100000110000000011110001100001000100001000001111100100011011010000110000000001111000011100100111100100000000000000111101100000000000000010010000011000000111100000000010000000011010000000010000000000000000000011000111100000000000111100000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001011110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001010000000000010000001000000000101000000000000000000000000000000000000000011010000000100000000001000000001000001000000000000100000000100100000000010010000000010000000000101000000000000000000000000100000001100010101000000000000010000000000000001010001000001000001011001001000001000100100010000000000000001000001000011000000100100000000010101000100000000000000000000100101000110000000000001000001000000000001000010000000000100000000000010000010000100100000000001010010000000000000000001000100000000000000001010100010001000000001000101000000001000001000000000000010000000000000001000100001000000000000000000001000000000010010000000000000001000000000000000000000000000000000100010101000000000000101100000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000110000000100000000000000000000000000101000000001010000000000000001000000000000000010100100000000100000000000000000010000100000100100000100000000000001000000000000000001000000100000000001000001001000000000000000000000010000000000000001000000000000100100000000100000100111000001001000000000000010100000000000101000000000000000001001000010001000000000000000010000101110001000000000010000000001000000101000000001000101000000001000000000000000000100001000000000000000000001000100010000000001000000000011010000000100101000000000000000000000001010000100010000000000000000001000000100000000000000101000000000001000000000000000000000000100100000001000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111001101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000010100000000000000000010000000110000100000000000000001000000000000000000000000100100000000000001000000000000000000010000100000000000000000000000000000000010000000000010000001010000000000101000000001000001000000000000010000000000000000000000000000001000000001000000000010000000000000100010000000000000000000000000000001100000000000000000000000000001000000000000100000000000000000000100100100001000010000000000011000001000000110000000000000100000000010000000000000000000000000000000000000000000000000001000000001000100100000000000000000010000000000000000000000000000100000000000010000000100000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011111111111100001000000000000000111101010000100000010000010101011111111100001000000000000000111101010000100000010000000000000011010100001000001011011100001011110000100000010000000000000011001000001000000011010101000100000000100000010000111011100011111100001000000010101100000000110000100000010000100001001111001100001000000000100111000001010000100000010000000011110011001100001000001010101010111111110000100000010000111111111111011100001000000001010111110011000010000000010000001100110101010100001000000000000000110011010000100000010000111111110000000000100000000000000000110011100000100000010000001100111111111000001000001000000000000000000000000000000000000000001110110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011110001000100001000000000000000100010000000100000010000111001000000001100001000000000000000100010000000100000010000000000000101001100001000000011001100001000100000100000010000000000000000010000001000000011010101110111000000100000010000111010100000111100001000000011110000110111010000100000010000110111101000100000001000000001010101101110110000100000010000000011110100011100001000000011110000111001000000100000010000111101111111001100001000000001010010111000100000000000010000001110110011001100001000000000000000110011010000100000010000110101010000000000000000000000000000110011000000100000010000001110111111111100001000000000000000000000000000000000000000000000000110010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110101110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001010101000010000000000000000000000000001000000001000111100001111000000010000000000101110000110110001000000001000010111110100011100010000000011111111111110000001000000001000001110110110111100010000000011101111001011110001000000001000111101010101010100010000000010111000110011000001000000001000010111111111001000010000000000011011000000000001000000001000011101110000111100010000000011111111001100110001000000001000111011110000111100010000000011110000111111110001000000001000110110000101001100010000000000000000000000000001000000001000111111111111111100010000000000000000000000000001000000001000000000000011000000010000000000000000000000000000000000000000000000000101101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100111100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000110010101111111100000000001011111111111111110000000000000000011101110011001100000000001010101110111111010000000000000000011111110000100100000000001011101111111111110000000000000000100010000101110100000000000000110011101110000000000000000000011101110010001000000000001000011011101010100000000000000000011101111111111100000000000000101010101100110000000000000000111111111000111100000000000010101010111111110000000000000000110110000011010100000000000000000000000000000000000000000000011111111111111100000000001000000000000000000000000000000000000000000011000000000000001000000000000000000000000000000000000000000000101100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111000100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000110000000110000000100000000010110101100110100100100111000000100011000000001100111000011011001110101110011111000000011100011000000000011111011001111001100010110110011111000100011111011000000110001100001001110001100111001100111100000110000000100000000011101100001100111011110100011001110000000000011111011000000000000000000000000000110000000110110011000001100000000000000111100000110000000011110101111010111101000100101100010000000101101100101000011000010111101000111100000001100000000011110000111000111100111011001111111111111101100010000000001111000001001100001101111011110000001100010100100000000000011011000000010001000001100000110000000000000001000000000000000011001000000000001100000000000000000110111100000001100000000000000001110001001100000011001010000000000000000001100000000000000000100000000000000000000110000110000000000000100000000011000000100110000000000000000000000110111000000000000000000001100010000000000000011011111111111110110000000000100000001100000000101100010000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110101011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000010010100100000010000000001000000000000010000010100100001100000100001110000010000010000000010000000000000000000001000001010000001101001000001000000000000001000000000010000100000000010100100010101000000000000000000000000000001110100010000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000100100000000000000101100000001000000000010010001000010000100000100000000000000010010100100010000000001000000001100000000010000000000001101000001000010000001000100010000000000100000000000000010001000100010101000010010001000000000001000000000000000000101001000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000001000000000100100000000000001100000001000000000000000000000000000000000100100000000000000010000000000000000000000000000000000000000010000000000001101000000000000000000000000000000000100000000000000000000000000000000000000001001000000111010110001000000000100000000100001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010011111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000100000000100000001000001000100001000000101000000000100000000000000010000000000000010000100100000000000000000100000000000000110000010010000000000010010000000000000100110000000000000100000000010100000001010000001000100000000100000000100000000000000000010000010010000100000000100000000000111000000000000000000000000000010010000000101010000000000000000000000000001000000010000000001000000110000001000000001000000000000000010000000000100000000100010000000010000000000000000000000110000000001000110000000000110000100110000000000000000100000000000000000000000100000100000000000000000000000000000000000000000000000000010010010010000000000000000000000000000000000000000000000000000000000000000010010110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000110010000000000000000000000000000000010010000000000000000000000101001000000000000000000000000000000000000000000000100001001000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000010000000000000000000001000000000010000000000000000000001000101000000010001000100000000000010100001000001000000000000011001000000000010100000000010000001000001000000000000000000100000000010000000000000000100000000100001000000001000000001000100000000000010100000000000000000000000000000000000010000000001000000000000000000000000000000000000000010001001000001011000000000001100010000000100000000000001000000000011001000010000000100000000000000000000000001100001000000000000110001000111000010000000000001000000000000100001000010010000001100010000100000000000000001000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000100000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000001100010000000000000000010001000000000000000000000000000001000000000010001000101000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000010000000001000000000011100110110000000000000001000000110000110000000001000011000011100000000100000000000001000000110000010001000001000110000111100000000100000010000000000000110000000001100000110010000111100000001100000000000001000000000000100011100000111110000011100000000100000011100100001000000000000000100000000000000000000000000000000000000001000000100000010001100001000000001011011000000000000000000000000000110000000101100111100110000001101100111000000000000001000000110000110001100011000011001011101110111000000000000000000000010000000111100011000001000000011100110000000000000000000000000000000000000000110000000000000000000000000011011000001000000000000100000000110000000111100000000000000011011010101000000000000100000000111001000111100000000000000000000000000000000000000000000000000011000000000000111100000000000000000000100000000000000001101001000000000000001000000000000000000000100000000000000001000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000001000000010000110000000000000000000000000000110001000000000001000000110000100000000100000000000000000000110010000000010001000000010000100000000100000010000000000000110000000000100010000001010000100000110000000000000000000000000000000100001000000000010010100001000000000011001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000001000000000000000000000000000110000000000100000001000001000100100001000000000000000000000110010100000000000000001001000010000001000000000000000000000100000000100000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000010010000000000000000000000001000000000001000000000000000000010000000000100000000000000000010010000010000100000000000000000000000000000000000000000000000000101000000000000000100000000000000000000010000000000000001010010000000000000100000000000000000000000010000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101010000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000001001000000000000000010100000000000000000000000000000010000000010000000000000000000000000000000000000000000010000010001000000000000000000000010110100000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000010000000000001000000000000000000000000000000000010000100000001000001000000100000000000000000000000000000010010001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000000000000100100000000000000000000001001000000000000001000000000001100100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011101111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000010000000000000010000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000010000000000000100010000000000000000000000000000000000001000000100100000000000000000000000000000000000000000000000000000010000000000000000100001000000000010000000001000000000000000000000000000000000000101000000000000000000100001000000000000010000000000000000000010000010001000000001000001000000000000000000000000000000010001000001000000000000101010000000000000000000000000000000000000000100000000000000000000000000001001000100100000000000000000001000000010000000000000000000001010100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000011111000000011011000110000101100100011000000001100000000101100000001110000000000000000110110000111100000001111111100111100010000110000111011110101110011110101111010010110010000111100000001110011011101110001011000000111100010011110101110101100100001010011011001001001110111100101010010001110011000000101100101111000011101110110011011000100001100001111100100111100100001111000111011110101111011100101000010001100100100100101010000110011111010010010111001010100100010000111001001011101101000100001011000110100101011100011100000000100011010110100000011110011011001101100110111000011101100101111100100101101101001110000000011111000000000000111100000000011100100011001000000000011000010010000011011000001100100000011011000100101100101101010011000100000110000001011101100101100011000010100000000110000000000000000110000000111101100101111000000111110110001111000000001100100000001000000000100000000000100000000000000000000000000000001111000000000000000000100001010011100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001101101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000101000000000000000000000000000000100000000000000000000001000000010000001101101101000000000000000000000001001000000000010000001001000000001000101000000000000000010100011001000000000111000000000000010000001000000000000000100100000000100000010001000000000100100010000000001100000010000000101100000001000000000011001000000000000010000100000000000001001001000000010000001000000100010000000000001000000000100000100100000000000000000000010001100000000010000000000001000101000010000001000100000000000000000011000000000000000000010000000000101001000101100001001000000000000000000000000000000000100000000000000000010000010000000101000000001000000000001000000100001001000000000000010000001000000000001001000010000000000000000000000000010001000000001000100100000000000000000000000000000000001000000001100010000000100100010100000000000000001000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000011001000000000100000001100000010000000000000000010000001100000000000100000000000000000001010000010100000000100010000011100000000000000000001000000011000000110000100000000000000000000000000011000010000000000011000000001000000010010000100000010000000101001000000000001001010000001001000000100000000000000000000100000000000000000000000000001000000010100010000010000000111000000000000100000011000010001000000000010000100100000000000000001000000100000000000000100000010010000000000000000000000000001000000000010000000001010000000000000000000010000000001000000100000000000000011000000000000000010010000001100000000101000000000100000000000000000000000000000000100000100000000000000010000100000000000000000100000000000000000100000000011000000100100000010000000000010000000001000001000000000000010000000000000000010000000000110000000000100000001001001010011000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101000100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000100000000000100000000001000000000010000000000000000000001000000000010000000000000000000000010110000001000000000000001001000000100000001000000000100010000100000000000000100000000000000000000100000000000000100010000000000000010000000000000001010000000100000100000001000000000100100000000000000000000010000000000000010000001000010010000000100000001000000100000010000010000000000000000000001000010010001001000000000000001000001000000000000000000000001000001100000000000000000000000001010000000000000001000000000010000001000000000000000000000000000000000000011000001001000000001100000000000001000000000000000100110000000100000000000000000000000000000000100000000000011000000001000010000011000000000000000000000001000000100000000000000000000001000000000000000000100000000000000000100000000010000000000100000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000001010000000000000000001000000000000100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001101000000000000000011101000000001101011110000000000000000000000001111000000000010010000110010110010010000011001000100101100101111000000111101101000110011111010110000111111100011001101001100000000101100100100110000000011110000001111100010001101000110101000111101101001111010010000000001101011000011101101001011000100101100000000101001100001101101100000000111101100100000001000111100010001111001010010010000001011000100110110001111000000110101101001110001100000000001101111100111100100010111000000101011101001110000000010110001011011100111101101001111001000101101100111110001101010110001110011100100110010001010011000111100100001111000000000100001111000000111100000000000100100000000000000000000000001101000110111100011010100001100111100011000000011011010000011100101100100100111100000000000000000001100000000011000010000000001111010000111100000011111100000000000000000110000000000000000000000000000000000000000000000000000110000000000000011110000000000000011000000000010000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000100000000000010000001100010000001001000000000000000100000000010010001001000000000000000000000000000000000000000000000001010000000010000010010000000000000101000000001011000000000000100000001000001010000010000000000000000000100100000001100000000010000000000010001000000000000000010000100100001001000000100000001000000000100001000000000000010000000000000001000000001000000010000110010100000000000001000000000000000000000000001000100110000010000010000000000001000001000000000010000010000000000110010000000000001100010000010010100001000000000010100000000000000010000000000000100000000000000000000000000000000000000010000010000000010011000100000000100000000000000001000000000100010010000000000000000000000000010001000000000000001000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000001000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000011000000000010000001000100000000000000000000000010000000000001000000000000101100101000000000000000000000000100000000000010000000000001000100101100000100110000000000101000000001110000000010000000000000101100000000110000000000000000100100001010000000100000101000000010100000000000000000100100000010100000000000000000000000000000100000000010100000000000100000010000001001000000000000101000000001000000000100010010000000000000000000010000000000000010100000111000000000000000000000001000100001000000000000101101000000000110100000100100000000010000000010100000000001000010100000000000001001001000000000001000000001000000000000000001000000000000000000000000010000000000000000000000000000000000001010000000001000000100010001000000000000100001000000100010010000100001000000000000000000010000000000000000000000000010010000000000000000011000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000101100000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100010000000000000100010001000000000000000100000010000010000000100000000000000000010001000000000000000100000000000100000001000000000000000000010000000000000000000000000010000000000100100000001000000000000000000000000000000000000011000000000010000000000011000000000000000000000000000000000000000010000000000000000010010000010000000000000000000000000000001011000000100000000000001000110000001000000000000000000010000010000100000000000000000001001010000000000000010000000000000000000000101000010000010000000000000000000100000100000010000010000000000000001000100000100000000000000000001010000000100110000000000000000000000000000000000000001000100000110000010000001000000010000000000000000000000000000000000000000000010000000000000000000010000000000000000010000000000100000000100110000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111011110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000010010000000000000000000001111111100100100000001011001100100100000001110000000000010001111111100011100110000011000000111101101001110000000001100001111010100000010000001111000000011000000001110000000011110000000011100111100010000000000000011100010001110000000001110100100011100011010010000010010000101000100000110000011101100101111011000011001100001111000000111100000001100000000011110001110111110011110110001100010001111100000001111000000010010001111100100000110010000111001000111100000011110000000011011011111111100100100000001011011100111111111011110000000011111001111001010011100110001111000000111001100100111000000001101000000111100001000010000000010000000010010000010000011010010001100000000111100000001111011000001100000000110000011000100000000000000010000000011111100100111101001000110000000000000000000000000111101101000000000001111100100000000000000000000000000101100000101101000000000000000001101000000000000000000000000111100101100000000000000001001000000001110000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001100000010000000000001000000000000000000000010000000000100100000000110010010000001000000001000000010000100000000000001001001001000000000000010001000000101000000000000000000000001000000000010100000000000000000000100000000000000000000000001000000000011000000000000001000010001000000000010000011000001010000100010100000001100000000000101000000000100000000000011000000001001000000100000000000011000100000001000000000000000010000000100000101000100000000001000000000000000000000000000001000000010000100000010001111000100000100000000000000000000100000000000111000010100000000000100000001100000000000000000000000000110000000000000000000010000000000000001000010000000000000000001010000000100100001000011000000000101000010000000000000000001000000000001000000001000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010001110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000110000000000000000000000101000110110000000000100000000110000000001001000000000000000100010001000000001000000000000000000000001001000000000000100010000010000001000010100000000000000000010011000000000101100000000001110000010000000000001000000000001001000000000000010000000000000001000000000000000001000000100000000010100000001000000000000110000011000000000000000000001000000000001000011000000110000000100000100000000100000000001000000000001000001000010000000000100000000001000001100000000010000000000101010100000110100000000000010000001001000100100010000000000101011000000000000000001001001000000001000000000000000000000000000000000101000000000000000000000000001000000000000000001001001000000001001000000000011001000000000000000000000000000000000000000000000000000000010000100001000000010000000000000000000000000001000000000100000000000001010000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000110100100000000000000000000000000000011000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001011111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100011000000000000000000000000000000010001000010000001000000100000000110010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001010000000000000000000000100000100100000000000000100000000010100010000100000000000000000000000000010000001000000000101000000000000000000100000001000010000000000000000000100000100000000011001000100000000000000000000001100000000000010000101000000000000000001100000000100000000100000000000000000000000000010000000000000000000000100000000001000000000000001000010000000000000000100000000000010000000100100000000001000000000010000000000100000000000000000000100000000000001000000100000000000000000000000000000000000000000000000000001001000000000000000000000000000001001000011000000000100000000000000000000000000001010000000000000000001000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111111110000100000010000000000001111111100001000000011111111101010100000100000010000111111111111111100001000000010001111101010100000100000010000010101011111111100001000000011001111111110100000100000010000010101011111111100001000001011111111101110110000100000010000011101111111001000001000000001010101110011000000100000010000010111111110010000001000000011111111111100000000100000010000111111111111111100001000000011110011001100000000100000010000111111110010001000001000001011111111111111110000100000010000010101011111111100001000000000000101111110100000100000010000111111111111111100001000000000000000000000000000000000000000000000000000001100001000000011110101101011110000100000010000010101011100110000001000000001010101111110100000100000010000010111111010101000001000000000000000000000000000000000010000010010100011010100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000001111111111111100001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001011001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101110000100000010000000000000011001100001000000000001111101011110000100000010000001100110001000100001000000010000011101011110000100000010000111101010001000100001000000000000011111110100000100000010000111101010000001100001000000000110111101110110000100000010000001111111111111100001000000000110011101010100000100000010000000010101110010000001000000010001111111100000000100000010000101110110101010100001000000011111011011101000000100000010000110011000111011100001000000010111111111101110000100000010000111101010011001100001000000011110101010100000000100000010000111100001111001100001000000000000000000000000000000000000000000000000001000100001000000000000101101000000000100000010000000011111111000000001000000000110011010100000000100000010000000010101111000000001000000000000000000000000000000000010000010011110011010100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000111111110101010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110110001000000001000110111011111100100010000000000000000111111000001000000001000110101011111100100010000000000001111110111110001000000001000010101011100110000010000000001000100111110100001000000001000110111011100111100010000000010001001110111010001000000001000110111010111011100010000000001010101110011000001000000001000000001010100010000010000000000000000000011110001000000001000111110110011101100010000000001010000101010100001000000001000111110100001000000010000000011111111111100000001000000001000111111111110111000010000000001010101111101000001000000001000000110110010011100010000000000000000000000000000000000000000000000000000000000010000000001010011000011000001000000001000010101011010101000010000000000110011101000000001000000001000000010101100110000010000000000000000000000000000000000001000100011110011010100010000000000000000000000000000000000000000000000001111000000010000000000000000000000000000000000001000000000001111111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011001001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000111111111111111100000000000000000000111111000000000000000000110101011111111100000000000011111111111111110000000000000000001100111111111100000000001001110111111110100000000000000000100010001100000000000000001011001101000000110000000000000000100010000111001100000000000000001111111100000000000000000000101011111110111000000000000000000000001100110000000000000000111111110011101100000000000011011100111111110000000000000000010100001111111100000000000011100100111100000000000000000000111100111010111100000000000000110011111111100000000000000000001001110010011100000000000000000000000000000000000000000000000000000000000000000000001001010011111111000000000000000000001100111111000000000000001000001111111101010000000000000000010111111010101000000000001000000000000000000000000000000000100001010011010100000000000000000000000000000000000000000000000000001111000000000000001000000000000000000000000000000000000000001111110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011000000000000000000000000000000110000000001100101110110111111000000011111001000000001000100001001111000000101100000111100000110001000000001000010011000110000000000000000000000000000000010000000110011000000000000000000000000010100000000101100000000010100011000000000000000000001100010010100111011100100000000001100001001000100001100001001110000000000001000000010001000000000000000000000000000000001100000000000000000000010001000000000000000000000000000000000000011010110101100011000000010011110111001000111101000111000111001110011001100010100000000011001000000000001111101010000001000010001100000110000000001100000111100000111100000111100000000000000000000110111001000000000000011000000000000000000000000000000000000000000000001100000000000000000010000000000000001000011001100111001000000000000000000000000001100011000010011100011011101010001000000011000000010000001110101011000010000110000000000000000000000000000000000000000000000000000000011110111100110110000000000100000000000000001101100011001111010010100110110100100000000000100011000000001001100010001110000100110000000000000000000000000001000000001000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101001001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001100000000000000000000000000000001000000000101100001001101001100000000000000000000000010000100000100000001000000001000000000000000100000000000000000110000000000000000000000000000000000000000000000001100000000000000000000000000000000000010000000000000010000000000000000000000000010000010010000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000100000000001001000000000000010000100101010000010100101010101001000000000000100000000000010010100001010000000000000100000000000000110000000010000000000100001100000000000000000000000011000100000000000000001000000000000000000000000000000000000000000001000000000000000000000000010000000000000100101010100100000000000000000000000000000010100101000000011000101010000001000000000000110000000011100010000101000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000100000000000000100110100101001001001000010000010000100000000000000000000000100010100001000010000000000000000000000000000000000100000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000101000010000000000000110000000000000000000000000001000000001000000010000000000100000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000010000000100000100100000000000000100000000000000000000000001001000001001000001000000000000000100000000010000000100010100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000010001010000000000000000000000110010000001000100000010010000000100000000000000000000000000000000000000000000000000000000001000100000000000100000000000001100100001001000001000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000001001000010000000000000000000000000000000000000010010010000001010000001000000000000000100000000000000000000000010000000000100000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000010100000000001000000000001001000000001000000110000000010000000000000001000100000010000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000001000000000000010001000000000000010001000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000010000000000000001110001000100000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000010000000001000001000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000001100011000100000100000000000000000000000000000000000000000000000000010000000000000000010000000100000000000000000001000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000110011001001111111010111111101000000000000000000000000000000000000000000000000000001111010000000000000000000000000000000000000000000000000000010000000000000000000000001000000010000110100100010001111100011100000001000000000000001000000110000110011010000101011000101100000000000000000000000000000010000000000000000110000000011100000010000000000000000000000000000000000000000000000000000000000000000000000000001000000110000010001000101111111000111100100000000000000000000000000100000000000000000000000000101100000110000000000000000000000000000000000000011000000000000000010000000000000000000000000000000000010101011001011000101100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000011101111010010000000000000000000000000000000100000000101100000110000000010100000000000000000000000000000000000000000000000110110100010000000110000000000000000000000110000000000000001110000000111111100001000000000000001000000110000010011110001111001000011101000001000000000000000000000010000000001000000000010000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010110001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001100000001000000000010000100100000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000010110010100000000000000000000000000000110010100000010000000010110000100000000000000000000000000000100000000000000100010000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000100100000000000110000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000001000000000000000000000000000000010000000001010010010000001001000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000110000000000000010000000010000101000000000000000000000000000110010000010011000010010010000100000000000000000000000000000100000000000100000000001010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010010000001000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000101000010000010100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001001001000100000000100000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000001000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000001000100000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000001000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000001001000000010000000101001000000000000010000000000000000000001000000000010000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100111100000001100011111000000001110111110101100000000111000000101100000001110000000000000000000000000000010010000000000000000000000000011000011000000001111000000011100000001111000000011000100001111000000000000000010111100011101101001100000000001000000000110000011000000001110110100000000000001111001010100100100001111000000001100000000101100111111110000000111000011000000000010000000000000000000100100000010010000000011010000000000000000011010010010001111101100000000000000101000000001101001001110011000000000001110000000111100000001111000000111101101000110000010010000001111000000111110010001111000000111100000001111011010000010001011010000111101100001111100100011101100100110000000000000000000100100000000000000000000000000000000000000011011000000001001101110101101100001011000000011011111011011011001010000001111010000101100000011111000000111100000001100011001000000001111011000011101001001111111100111100010001111000000000000000110011000000001101000010000000111100000001110000000000000000100111100001000000001100001000100000000001010000000000000000000011000001100000000000011000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110001110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000101000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000000100000000000100000000001000000000000010000100000000000000000000000001000001010000000000000000000000000000100000000100000000000001100000000000100000000000010000000100000000000000001000000000001000001001000000001000000010000000000000000000000000000000000000000100000000001000000000000000000001000000000100000000000000000000010000000000000000000000000000010000000000000000000101000000001001000000101000000000000000000000000100000000000000010100001001000000000010000100000000000001000010000001000000010011001101000100100000001000001000000000000000000000100000000000000000000000000000000000000000100000000010000000000000100001010000000000100000000010000000000100000100000001000000000000010000000000001010000000000000000100000100000001000000010000010000101100100000000100000000000000000000000001000000000000000000000000100000000000000000000000000000000100010000000000000100000000000000000000001000000000000000000001000011000000000000001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011111011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010010000000000000010000000000000000101000111100000000000000000000001000000000101000000000000000000000000000001000000000000000000000000000001000000000000001000000000000100000000101000000000010000001000000000000000000001000110000010000000100000001000000000000000000000000000001001000100000000000000100000000001000000101000000000000000000000000100110000100000000110000000000000000001000000000000000000010000000000100000000000000000000000000000000100000100001000000110000000000000000000001000000000001000000100000000001001000000000000000010010000000001010000000001000000001000001000000000000000100001000000000010000000001000000100100000001000000000000000000000010010001010000000010000000000000000000000000100000000000000000000000000000000000000001000000000000010010011000000000001000000000000001000001000000100001000000010000000000100000010010000000001000000000100000100000000011000000000000000000000100000101000000000001000000000000000000000000000000000000100001000000011000000010011000000000000000000000110000100000000010001000001000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000001000001000000000000001000001000000000100010000000001100000000001000000000000000000000000000000010000000000000000000000000000000000000000001010000000001000000000001000000000100000001010000000000000000000010000100000000100000000000000000000010000001000000000001000000000000000000000101000000000000000001010000000001000000000100010010001000000000000000010000000000000000000000000000000001000000000000000000000000000000000000000000100000100001010000010000000000000001000000100000000010001000000000000001000000000100010000000000000001000000000100000001000000000001010000000100110000001000000000010010000001010000100000000000100000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100000000010000101000000000100000000100010000000100010000000000000000001000000000001000100000000000010010001000100000110000000001000010100001010000000000000010001000010000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010010011110000111111100101100000000111000000111100000001111000000000000000000001010011000000000010000000000000000000000001001000000001100000000011101100000010000000100100000001110010010001100101111000100101100000000000000000111101101000110010010011111000001011100001001100000000001000011000010001101000000000000001111100100111100000000010000000000000100000000001000001001000000001000000000000000000011000000000000000000011110010010001110111100100100000001101000000101100000001011000100010010001111000000111100100001101000000011100000000100001101001101001111010000111100100000000000000001000000001111001100000000001000000000011100000000010011000111100000001110001101000100000000010000000000000000000000000000000000000000000100011111001110011000111111111001110000100111000000001000000100010010000010011000111000100001111000000011100000001101000100000000001110000000111100000000000000000100100100000010000000011110000000111100000000000000011000100000010000000110011110011110000000001110001000000001100000000000000000000110000000000000000110111100011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010011101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000010001000000100000000000100000000100000000000000000000000000000100000000000001000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000001100000010000001000000000000000000000010000000000000000000100001000011000001000001000000000000101001000100000000000000000001000000001000000000000000000000000000000000000000001000000000000000000000000000000000101000000000000000000000001000000000000000010000100000010100000000000100000010000000000000000010000000000100100000010100000000100000000000000000000000000001000000010000010000000000000000001000000010000000001000000000000000000000010000000000101000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000010000000001000000000010000000000000000000000000000001000100000000010000000000000010000001000000000000000000000000000000010000000000000000000000000000000000000000001000000000110000000000001000000000000000000000000000001000001000000010000001000000000100000000000000000000000000000000000000101000010001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000101000101100001000110100000000000000000000001100000001001000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000001001000110000000001000000000001000000000000000000000010000000000000110000100100000100000000000000100000001000000000000001000000000000000010010000110110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101001001000110001000000001000000001000000000000100000000100101011000000000001000000101000000000000000000000000010000000000001000000000000010000000000000000000000000001000000000000000000100000000010000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000010000000101111001000000110000100001000000000001000000001000000000000101000000100000001100000111001000000000000000010100010000000000001001000000000000000000000000000011010000000000000000000101100000000100000000000000000000000000000100000000000110100101100000000000000000000000010000000000000000000000000000000000000000000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000011000000000100010000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000110001000000010000000000000000001000000000000000001010000000001000000000000000000000100000010001000000000000000000000000000000000000000000000000000000001000000000000000000100000000010000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000001000000000000001000010000000000000000000000000010000010000000000000000000100000000010000000000000000001010000000100000000000000001001010000000100110000000000000000000000000001100001000000000000000000000000100000000100000000110000000001010001000000001000000000000000000000000000000000000000000000000000000000000000000010000010001100000001001000000100000000000001000000000000100000000000000000000000000001010000000000000000000000000001000000000100110000000000000000000000000000100000000000000000000000010000000000000100001000000000000010001000000000000000000000000000000000000000000000000000000010001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000011110010111111100101100000001110000000101100000001110000000000010000000011010111100100000000000100011010110000000000000000000001111000000011100000001100000001111100000011111000000000110001100000000011000000000010000000011110000001111000000001011001100111100100100000000000011000000010000000110000000011111100000000000000000000000000000000011001101010011000000000000000000000000000000100000000000000000010010000000000000011110001101111100011101100101110011000000100000001110000000000000001001001100111101100100111011000111100010000111000000000000001111100100111001100100000000000000011011000011000000000000001011000100111100000010110000000101100010010111000000011111100000100100000011100100000000000000010010000000000000000100001111111100011101001011111000000011100000001110000000000100001111111100111100000011111011000101000000001011000000000000001111011000110100110001110001000001100010001110000000011110000000101100000001100100000000000101001100101010000000000010000000100100100000000000000000000100100000001110000000011110000000000000011000000000000000000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101111100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001010000000010001000000000000000000000000000000000000000001000000000001000000010000000000000001001000100000000000000000000100010000000100000000000000000000000010000001010000000001010000000000000001010000000001000000000010000010000000000001000000100000010000000000000000001000000000000000100000000000000000000000000000000000000000000000001010010010000000000000000000000000000000000000000000000000000001000000000000000000001010000000010000010001000000001000000000000000000000000000000100000000000000010001100000000010000010000001000000000000000100000000100000010001000000000000000000100010000000000000000100000000001000000000000000000000001100000001000000000000100000000000000000000001000000000000000001000000000000000000010001000101001000000000100100000000000010000000100000000000000100000001000100000000001000000010001000000010000000000000000100000001000000010000000000000000000000000000001000000000101000000000000000000001000000000000001000001000000000000001000000000000000000000000000000000000010000000000010000000000001000000000000001010000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101010110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110000000110100000000001000000000000000000001001000000000000000000000000000000000100000000000000000100000000000000000000000010000000000000000010100000000000000000001000000000000000010100000000000000000000000000000010001000010010000000000000000010000110001000000000000000000000001000000001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000101100010000110000000000001000000000010000000001000000000000000001000100001011000000000000000000001000000000000000000000000001000000101000000000000000000000000000100000000000000000000001000000001001000000000000000000000000001000000000000000100000000000100000001000000000000000000001000000000000000000000000011000110000100000000100000001010000000001000000000000000001000100110110000000001000000000000000000001001000000000000011000000000000000001000101100000000100000001000000000000100100000000110000000000000000000000001000000000101000000000000000000000110100000000000000000000000000000000011000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000011000000100110000001000000000000000000000000000100110000000000001000000000001000000000000000000000010000000110000000000000000000100010000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000010011000000001100010000000000000001100000000000000000000000100000000000001100010000011000100110000000000000000001010000000000010000000000000000000000000000100000000000000010000001001000000000100000000000000010000100000000000000000000000001000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000100000000001000001100000000010000000001000010000000010000000000000000000000000010010000100010001000001000001000000010000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010010011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101010100000100000010000010101011111111100001000001000000000000000000000000000010000101111110000000000000000000001110011000000000000100000010000110011110101011100001000000000000000101010100000100000010000000011111111111100001000000001111111101110110000100000010000000011111111111100001000001000000000000000000000000000010000010101011111111100001000000000000000000000000000000000000000000000000000000000000000000010110111110111010000100000010000001100111100111100001000000011111100111111010000100000010000011110111111111100001000001000100011000000000000000000000000000000001101010100001000000000110011111001000000100000010000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000001011111111111110000100000010000000110111100110000001000001000001010010100100000100000010000101010101100110100001000000010101111000000000000100000000000000000000010011100001000000000000000000000000000000000010000010101011111111100001000000000000000111101010000100000010000000011111111111100001000001000000000000000000000000000010000010101011111101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010101011111010100000100000010000110101010000000100001000000000000000000000000000000000010000111111110000000000000000000011111111000000000000100000010000110011001111111100001000000000000000101011110000100000010000000011111111000000001000000001011111101110110000100000010000000011110011001100001000000000000000000000000000000000010000110111011010101000001000000000000000000000000000000000000000000000000000000000000000000000010010110111010000100000010000111111111100111100001000000011111110111011000000100000010000001000010101010100001000000010111111000000000000000000000000000000001010101000001000000000110111111001000000100000010000000000000011111100001000000000000000000000000000000000000000000000000000000000000000000001010000111001000000100000010000111111111101110000001000000001000010010101110000100000010000111001001100110000001000000011000000000000000000100000000000000000001010101000001000000000000000000000000000000000010000110111010001000100001000000000000000111101010000100000010000000011110101010100001000000000000000000000000000000000010000111101011111101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111011100000000000000000000011101111111101110001000000001000111011111111111000010000000000000000000000000000000000001000000000000000000000000000000000000000001111110001000000001000000000001100110000010000000000000000101011000001000000001000101011110010001000010000000000000000000000000001000000001000000000001111011100010000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001011101111011100001000000001000011111111011111100010000000000111111010101110001000000001000001101111100101000010000000000000000000000000000000000000000000000001110111100010000000000111111011111000001000000001000110011111100110000010000000000000000000000000000000000000000000000000000000000000000000010001000110010000001000000001000011100111010011100010000000011011101110110000001000000001000000001011010000000010000000001010101010100000001000000000000000000001011010100010000000000000000000000000000000000001000010101011010101000010000000000000000000000000001000000001000110111011111111100010000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000111011100000000000000000001001111111111111110000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000001000000000111111110000000000000000000000001111111100000000000000000000101011000000000000000000101000001110111000000000001000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101111011100000000000000000011111110011111100000000000001110111111111110000000000000000101111111010110000000000000000000000000000000000000000000000000000001110111100000000000000111011011100000000000000000000101011111100111000000000000000000000000000000000000000000000000000000000000000000000001011110101111110110000000000000000011000101010001000000000000011111111110110000000000000000000101110111101110100000000000000110011001111110000000000000000000000001011000000000000000000000000000000000000000000000000111111111111111100000000001000000000000000000000000000000000111111111111101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001110001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000011001000011001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000100011000100000000000110000000000000000000000000000000011000100000000001100000000110000000000000000000000000000000000000000011000000000000000000000000000110000000000000000000000000000000000100000000000000001100000000000000100010000000010001000000000000100000000000000011111000000000001100000000000000111100000001100000011000000000000000010000000000000000010000000000000000000000000000000000110000010000000000000000011000000000000000000000000000000000000000000000000000000000000000000011001001100000000000000011111000000000001100000000110000000000000000000000011000000011111000000000001100000000000000000000000000100000011110000000000000010000000000000000000000000000000001100000000110000000000000011001000010000000100000001110000001100000000000000011110000000000000000000000010000001111000000100000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100101101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000100000000000000000000000000000110000000000000000011000001100000000001000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000000110000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000110000000000101000000000000000000000001000000000000000000000110000000000000000000000000000100000001000000000000000001000000000000000000000000000000010000000000000000000000000000100000001000000100001100001001000000000000000000001000000000000000000000000001000000101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011100111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000010010000000000000000000000000000000000000000100000000000000010010000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010001000000000000000000000000000000000000000000000000010000010001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100100010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000011000000010010000000000000000000000000000000000010010000000000000000001001000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000011101000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000001101000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111101011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010111011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001101000000000000000000000000000001000000000000000000001100001100100000000000000000000000000011010000001100100000001100000000000000000000000001100100000000000100100000001100000000001101000000000000000000000000000000000000000100000000001101001001000000100100000000000000000000000000000100000000000100000000000000000000000001101000000000000000000000000000000000000000000000011010000001100000000000000000000000001000000000001101000000011000000010010000000000100000000100000000000100000100000000011000000000000000000000000000001101001100000000000000000000011000000000000000000000000000011110100000000100011011000000101100000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000111100000010010000000001000000001101001000001111001101000000101100000010010000000010000000001100101100010010000000000000000000000001001000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110011001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001100001000000000000000000000000000001000000000001000000000001000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000101000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011101100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010010000000010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000001000000000000010000000000000000000000100000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000100000000000000001000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110000000000000000000000001000000000000000000000011000000000100000000000000000000000001000000110000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000010000000000001000000001100001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010011100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000011000000000000000000000000000000000000011000000000000000000011000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000011010000000000000000100100000000000000000000000000000000000000000000000100000000010000000000000000000000000000100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001100100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000100010000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101101110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000110100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000001001000000000000000000000100000100000000000000000000000000111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011101011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000110000000000000000000000000000000000000000000000110000000000000000000000000000000000000000011000000000000000000000000000001100000000011000000000000000000000000000000010101110001010110011100001001000010000110011011000000001111001100101011100010100000000011110001001000110000100001000111000000001100000000000000000000000000011000000011000110000000000000110011001000011000000000000111100000000011000000000000001110001101110111111000011000000000000000111001100011101111011110011101110111100000001111000000000000001101100011001111011100001111110011100000000000000000011000000000100011101111010110111100010001100000000001000010000000000010100010100011000000000000000000011000000000000001111000000011000000000000010101111100010111101000000110000001001000000011100011000111011110001100010111111000001100000001101000111101100011100111011100001011110110100000001101100011000000001100100011101111010101100100110001111101010000000000000000001010100011101111010010100100010100111110011000000000000000001100100010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000010000000000000000000000000000010000001000100001000010000000000000000000001000010000010000010100100010010001100000000000010000000100000010000100000001000001000000000000000000000000000000001000000000010001000000000000000000000000001100000000000001100000000000010000000000000100000100001100001100000000000000000000001010100001100100000010010000000010000000100101100000000001100010100101000001000010010000010010000000000000000000000010000010000101101100010011100000001100000000000000000000000000000001000000111000000000000000000000001000000000000100100010000001000000000000000000010000000010000000000101000000000000000010010101000100010010110000001000001100000100001100000000100110000101100001001000000001000000100000000100000000000000100110000101100100000001000010000100100100000000000000000000100011000001100110000010010000000010001000000000000000000001100010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110001000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000100000100000000000000110000000000000010001000000000100000000000000000000110000000000010000000000010000000000000000000000000000000000000000000000000000000010000000000001010000000000000000000000000000100000000000000000000000010000100001000010000000000000000000000000010000000000010001001000000001000001000000000000000000000000000000000000010010000000000010000000000000000000000000000000000000000000010010000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101001000000000000000100000000000000000000010000001000000000100100100000100000000000000000000100000000010000000000000010000000100000000000000100000000000000000000000001000000010001000000000000000000000000000000000000000000000001001000001001000001000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111001001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000001000000000001000000000000000000000000000000000000000000000000011000000000001000100000100001000000001000000000000000001000000000000000001100000000000100000000001000000000100000000000000000000000000000001000000000001000000000000000000000001000000000000000000100000000000000001000000000010000000011000010000000011000000000000000001000000100001000001100011001100011000000000000000000000000000000000000000010001100001011000011000000000000000000001000000000000000000000000000000100000000000000000000001000100000000010000000100000000000000000000000001000000000000000001000000001000000000000000100011000000100000001000000000000001000000001000000001000001000000000000000100000000000000000001000010000001000001000000101001010001000100000001010001000100000000000000000001001000100000000000000010000000100000000000000000000000001000010000000000000000000001000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010000001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000010100100101100010000010011101000000000000000001000000110000010001000001001011000111101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001100011000111101110000100000000000000000000110000000000100001010011000111111000001000000000000000000000110000000000000001000011000011000000001000000000000000000000100000000000000001100110000111011001001100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001000001111001000011011110111100000000000000000000110000000001100000000011000011011001011000000000000001000000110010110001000001000000000011000000010000000000000001000000110000010100100001000110000101011000011100000000000001000000100000110001000001000011000011000110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000000000000000001000010000100000000000000000000000000110010000000010001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001001000000001000000000000000000000000110000000000000010000101000110101000000000000000000000000000110000000000000000000101000000000000000000000000000000000000010000000000000000001000000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000101000000100000000001000000100000000000000000000110000000000100000000000100000000100000000000000000000000000110010000000100000000000000000000000000000000000000000000000110010000010000001001001000000000010100100000000000000000000010010100000010001000100100000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011101111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000100100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101101100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000100000000000000000010000000000000000000000000000000010000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000001001100011000000000000000000000000000000000000000001000000000000000000001001000000000000000000000000000000000001000000000000010000000001000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010110001000100000000000000000000000000000000100000000010000010000000011000000000000010000000000000000000001000000000001000100000010000000000000010000000000000000000000000000000000010001000010000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000111100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000111000001011100000001111000000000001101000000000000000000000000000000000000000000000000100000000100000000011011000110101111001000111100000001111001100001100000001011011011000000001010111100111000000001111000000111000100001011000000001100000000000000000001100000000011000000000100000000000000000000000000000000000000000000000000000000000000000000011011000000001011011010111100000001111001110100100000000010000000001100000110000000000000000001111100100011101000001111000000001101000110100100000000000001111011000100101100101110011111000000001011001100111100000010111001000100001101101111000000000000000000100100000000000000000100100000000100000000011011001111001110001000001111111000100001100101100100000010011011011111001100000100011010010001111000100101100100001110000000010010001100011100111100000000011100100101100000001011001011000110000111000000001010010001100011000101100000000111000111000110101110000000100100000001011001000100100100001010000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010000001000000000001001100100011000000001000000000100000000000000010100000000010000000000100000010100000000000000001000000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010000010000010000000000000000000000000000001000000000000000000000001000000000010010001100000000000000010000000010000000000000001100000010000000001000000000000000000100000000000110000000010000000000000000000100000000000000000000000010000000000000000000000100000000000000000010000000110000000000000000001010000010010000000000000000000000001001000000000000000000011000100010000100001000000000001000000000000000010000010100100000010000000000000000000001000000010000000100001000000000000000000000001000000000000100101000000000100000100000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011101101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000010000000000010100000000000100000000011100000010100000000000000000001000001010000000000100000110100000000000100000000001000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000001100000000001000000000000000000000000000000000000010000000000000000000000100010000000000000000010000000000000010000000100000000000000011000000001000000000100010010000000000100001001000000000000000001000000000000001000000000000000000000000100000000000000000000100000010000000000000100000000000011100000000101000000000000000000000000000000000100010100010010000001000001000001000000000000000000101000000000001000001000000010001100000000001010000000000000000100000000010000000000000000000000100001000000000011000000000000000000000000001000000000011000000000100001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000001000000001010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100010000000000000000000000000000000000000100000010000000000100000010010000000000101000000010000000000010000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000001000000100100000001000010000000100000000001000000100000000000000000001100000100000100000100010000000000000000100000000000000000000000010001000000000110000000010000000000010100000000010000100000000000000010000001010000000000000000000000000000000000000000000000000000000000000001000100000000001000000001000000000000010000001111000000100000000000000000000100000000000000000010000000001010000000001000000000100000000000010000000000000000001000100110000010000000000000010001000000000010000100001000000010010000000000000000010000000000100000000000000000000100000000100010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001110000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111100000000000000000000000000000000000000000000000000000000000000000000000001101000000001111100100111100010001111001110100100110101100011111010110100000111100100100100001111001000111101101100101000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000011110000011101100100100000001100000000100101101001111001000010010000000111100000001101001111001000000000100000110000000011110000000111100100100000001111011000000000000000110000100011111101011000000000000100000110011000100100110000111001101000100000000000000000000000000000000000000000100000000000110001110001111101100100100100000100011000111101100101111000110001110101111101100100100100111111011011111100110000111010010011110000000101100000000100000011011000111100000000000000000011110001000111100001000000001101000100100100000001110000000011110000000000000100100000001100000000000000000000101000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000010100000000010000100000000000000000000000000001000000000010010000000000000010000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000000000000000000000000001000000001000000000000001000000000000001010000000000000000000000000000000001000000000010000000000001100001000000000000000000000000000000100001000000000000010000000100010000001000001000000000000000000000000000000000000000000000000000000000000000001010000001100000000000000000000000000101001000000001010000000000000001100010000000000100000010010001001000000000100000000000000001000000000000000000000010000001000000010000000000000000000001000000000010000000000010000010000000100000000100000000000001000000000000010000000000010000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101110110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000011000110100100000000010000000100000000000010010101000101000000100110011000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000110000000000001000000000000000000000010000000000101000000001110000000000100010000000000000000110000000000000101100000000110110000000000011000000000000000010000000000000101001000000000000000000000001000000110000000110000000000000000100000000000000000000000000000000000000000100000000000000010101000000110100010000000000000000100100000000101000001000001001000100110000000000001000000000000000001100000000101000101100000001110000010000000001001000000000000000000000000000101100100000110000000000001000000000001000000001000000000000101100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000010000100000100000000000000001000000000000000000000000000000000000101000010001010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000010000000001000000000000001001100000000000000000000000000000000000000100000010000000000000100000000000000000000000010000000000000000000010000000000000100001000000000000000000000000000000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000010001010001000000000001000000001000000000100000001000000000010000000000000010000000000000000001010000100010000000000000000000000000000000000000000000000000100000000000000000000000000000000010000100000001000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000011111000000111100000001111000000000000000000000000000000000000000000000000000000000000000000010110000000000000110000000000011000100011000000001100000000011110001110111100100100000001111011000101100000000110000000001100000000000000000000100100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000011110000111111100100100000001111010000011110010001111000000011110000000011000100100010000000000000100100000000100000000011110000000111100000000000000000001000100100000000000000000000000001111111100111100000000110011000001100110001101000000000100000000001000000000000000000000000000010010000000000000011111001111111101111100100010010000000111101111101110000000011110001111011110100100010011111001000100100000001110000000011110001100011100000010010001111000000111100000011111000000010110001010111100000100000011111001000010100000001100000000000000001111111100100100000000000001100100100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001010000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001001000100000010000000000000000000001000001000010000000000100000001000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100000010000000000100000000011010001000001000000000000101000000000010000100000000000000000000000000000100000000000001000000000010000000000000000000000010000000000000000000000000100000000010000010000000001100010011000000100100000000000000000000000000000000000000000000000000001000000000000000001010001000000010100000000000000000000000011000000010000000000001100000000010000100000001000000000010000000000100000000001001000010000010000000100100010000000000110000001000000000000000000000000010000000000010000000000100000000000000000000000000100000000010010000000000000100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000001000000000000101100100000110000000000000010000000100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000110100000000001000000000000100100001001000000000100100000000000000000001000000000000100000000000000000000000101100000000110000000000000000000000000000000000000000000000000000010000111100000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000001000001000000110100000000100000000000100000000001000000000000101101000000010000000000001000000000100000000000010000000000100101000000000000001000000010000000100000000001000000000010101000100000110000000000010100100000000000000000010000000000000010010000110000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110101001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000100110000001010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000100000001000000000000000000001000010001010000100010000011000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010001000000000011000000000000000000001000000000000000000000010000010000000000000001000000000000000000000000000000000000010000000000000000000010000010000000000000000000000010001000011000000000100000000000000000101000000000000001000000000010000000000000000000000000000000000000000000000000000011000000011000000000000000000001000100000000100000000000000001010000000000010100001000000010000000000000100000000000000000000001010000000000000010000000000100000001000000000000000000010000010100000000000000000000100000000000001000000000000000010000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010011010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000010000001100111111110000001000000011111111111100000000100000010000101110111101110100001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111110000100000010000111111111111111100001000000000000000000000000000000000010000101110111101110100001000001000000000000000000000000000010000101110111101110100001000000010100000111111000000100000000000000000001100110000001000000000000000000000000000000000000000000000000000000000000000000011101111101011110000100000010000010101011111111100001000001011111111111111110000100000010000001100111111111100001000000000000000111100000000100000010000101110111100110000001000000000000000111111000000100000010000001100111011101100001000000001110111000000000000100000010000000000001101110100001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000100000010000101110111111110000001000000010111011111111110000100000010000101110111101110100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111110000000100000010000110011000101010100001000000000000000000000000000000000010000101110111101110100001000000000000000000000000000000000010000101110111101110100001000000011001111111111000000100000000000000000001100111100001000000000000000000000000000000000000000000000000000000000000000000011111111111111100000100000010000110111010001000000001000000001011111111110100000100000010000111111110011001100001000000000000000111111110000100000010000101110111101110100001000000000000000111111010000100000010000011100111011101100001000000001110111000000000000100000010000000000001101110100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000111101010001000000001000011101111100111100010000000000110011111111110001000000001000101111111111110100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111111111110001000000001000011101111111111100010000000000000000000000000000000000001000011101111111111000010000000000000000000000000000000000001000111101111110111000010000000011111111100011000001000000000000000000001010111100010000000000000000000000000000000000000000000000000000000000000000000011111111110001000001000000001000111111111111100100010000000011110011111011100001000000001000111101111101111100010000000000000000111011000001000000001000010101010000000000010000000000111111110111010001000000001000010101011010101000010000000000000000111100010001000000001000100011111111110000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111100000000000000000000011101111100110000000000000011111111111111010000000000000000001111111111110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111111111100000000000000000011111111110111100000000000000000000000000000000000000000000111111111111111100000000000000000000000000000000000000000000011101111110111000000000000011111110101111000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000011111011111101010000000000000000101111111111101000000000000011111111111011100000000000000000111111111111111100000000000000000000111111110000000000000000111111110000000000000000000001111111110011000000000000000000111111111111101000000000000000000000111100010000000000000000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010101101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000011000000000000000000000000000000000000000000110000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000011001000000000111000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010000000000000001100000000000000000000000011110011100110011100000000000000000011001001001100011001111000000000000000000011000000000000000000000000000000000000000000010000010000000000000000011100011011000000000000001101100001100111011110011011111011000000000001000000000100011001110000000000000000000000000000000000000000000000000000000000000011110111100110111100000000000000000000000000001100011000011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001011100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000001000000100000000100000000000000000000000100000000000011000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000001100000001100000000000000100000010100101001100101000000000000000000000100000010000101000000000000000000000000000000000000000000000000000000000000000000001000000100000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110110100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000100001000100000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000100010100000000000101000000000111100000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000010000100110000000100000000000000000000000000000000100000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000010001010000100010000000000000000000001000000010001000100100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000100000000010000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010001000110000100100000000000000000000000000010001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110100001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000110000000000001001000010000100001100000000000001000000110000110101100001001011000111100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000011000000000011011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110111011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110000000000000000000010000000000100000000000000000000110001100000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000100000000000000000010000000000000000000001000001000000001100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111000101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000011011000000010011000000000000000001100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100001100000000011000000001000100000010011001000110011111011010111100000000111001010101100000001110000000000000000000111100000000000000000000000000000000000000000000000000000000000000000001101000011011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011000011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000001000000000000000000000000010000000000100000000011000000000100000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001110111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111000000000000001000000000010000000000000100010000000100000001001000000010000000000110000000000101000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000100000000100000100000100001000000001000000000000010000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001100100000000000000000000000000000000010010000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000100000000000000000001001011110000000000100001000000001111011010000001101100000000000000000000000000000000000000000000000000000000000000000011110000100000110100100111100000000011000000000000000000000000100010010000011111100101100000001111000000101100000011011000100000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000001000000000000000000000000000000000000100000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000001000000000000000000000000000000000000001001000010000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000111000000000000000000000000000000000000000000000101000000000110100000000011001000000001000000000010010000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000000001010000000100000000000000000000000000001000000000000000010001100000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000010000000000000000110000000111100000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000011100100011010010000000000000011000100000011000000011110000000111110011000000000110001100111100000001100000000000000000000011000000000000000000000000000000100000000000000000000000000111100001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000010000100000100000000000001001000000001001000000000001000000000011000000000000010000001000000000000100000000000000000000100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001100101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000010000000101000000000000000000000000000000000000000101100000000110000100000000001000010100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010011111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111111110000100000010000000011111011101100001000000000000000000000000000000000000000000000000000000000000000000000000000110111010000100000010000001100111111111100001000001000111111111111010000100000010000101100111010101100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010000100000010000000011111011101100001000000000000000000000000000000000000000000000000000000000000000000000000000110111010000100000010000101110111111011000001000000010011111111111100000100000010000001100111010101100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111011100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000010000000000110011101010110001000000001000111111111010101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111101010110000000000000000101111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001111001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000110000000000000001000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100001110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111111101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000001000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110101100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101010000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110011110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001100110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000011000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011100100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110001100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100110111111111111111111111111111111111111111111111111111 +10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +01000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +01001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010011001011111111111111111111111111111111111111111111111111 +00000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001110110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010010001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101001100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111011011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000100001101110000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000011101100000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010000000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000100000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000010000001111111000000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000000000000000000000111111100000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101001000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011010001110011 +0000101000000000000000000000000000000000000000000011000100010000 +1111111111111111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000 +1111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 diff --git a/impl/pnr/cpu.log b/impl/pnr/cpu.log new file mode 100644 index 0000000..dd98bfb --- /dev/null +++ b/impl/pnr/cpu.log @@ -0,0 +1,29 @@ +Reading netlist file: "C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg" +Parsing netlist file "C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg" completed +Processing netlist completed +Reading constraint file: "C:\Users\kuroc\Downloads\cpu\src\cpu.cst" +Physical Constraint parsed completed +Running placement...... +[10%] Placement Phase 0 completed +[20%] Placement Phase 1 completed +[30%] Placement Phase 2 completed +[50%] Placement Phase 3 completed +Running routing...... +[60%] Routing Phase 0 completed +[70%] Routing Phase 1 completed +[80%] Routing Phase 2 completed +[90%] Routing Phase 3 completed +Running timing analysis...... +[95%] Timing analysis completed +Placement and routing completed +Bitstream generation in progress...... +Bitstream generation completed +Running power analysis...... +[100%] Power analysis completed +Generate file "C:\Users\kuroc\Downloads\cpu\impl\pnr\cpu.power.html" completed +Generate file "C:\Users\kuroc\Downloads\cpu\impl\pnr\cpu.pin.html" completed +Generate file "C:\Users\kuroc\Downloads\cpu\impl\pnr\cpu.rpt.html" completed +Generate file "C:\Users\kuroc\Downloads\cpu\impl\pnr\cpu.rpt.txt" completed +Generate file "C:\Users\kuroc\Downloads\cpu\impl\pnr\cpu.tr.html" completed +Thu May 18 11:35:38 2023 + diff --git a/impl/pnr/cpu.pin.html b/impl/pnr/cpu.pin.html new file mode 100644 index 0000000..ddb0881 --- /dev/null +++ b/impl/pnr/cpu.pin.html @@ -0,0 +1,3709 @@ + + + +Pin Report + + + +
+ +
+

Pin Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePin Report
Design FileC:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg
Physical Constraints FileC:\Users\kuroc\Downloads\cpu\src\cpu.cst
Timing Constraints File---
VersionV1.9.8.09 Education
Part NumberGW2A-LV18PG256C8/I7
DeviceGW2A-18C
Created TimeThu May 18 11:35:38 2023 +
Legal AnnouncementCopyright (C)2014-2022 Gowin Semiconductor Corporation. All rights reserved.
+

Pin Details

+

Pinout by Port Name:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Port NameDiff PairLoc./BankConstraintDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainSlew RateVrefSingle ResistorDiff ResistorBankVccio
clockH11/0YinIOT27[A]LVCMOS18NAUPONNONENANANANANA1.2
LEDL14/1YoutIOT34[B]LVCMOS188UPNANAOFFFASTNANANA1.8
txM11/2YoutIOR27[B]LVCMOS188UPNANAOFFFASTNAOFFNA1.8
+
+

All Package Pins:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Loc./BankSignalDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainSlew RateVrefSingle ResistorDiff ResistorBank Vccio
L15/0-inIOT2[A]LVCMOS18NAUPONNONENANANANANA1.2
D16/0-inIOT4[A]LVCMOS18NAUPONNONENANANANANA1.2
E14/0-inIOT4[B]LVCMOS18NAUPONNONENANANANANA1.2
C16/0-inIOT5[A]LVCMOS18NAUPONNONENANANANANA1.2
D15/0-inIOT5[B]LVCMOS18NAUPONNONENANANANANA1.2
E16/0-inIOT6[A]LVCMOS18NAUPONNONENANANANANA1.2
F15/0-inIOT6[B]LVCMOS18NAUPONNONENANANANANA1.2
F13/0-inIOT8[A]LVCMOS18NAUPONNONENANANANANA1.2
G12/0-inIOT8[B]LVCMOS18NAUPONNONENANANANANA1.2
F14/0-inIOT9[A]LVCMOS18NAUPONNONENANANANANA1.2
F16/0-inIOT9[B]LVCMOS18NAUPONNONENANANANANA1.2
F12/0-inIOT12[A]LVCMOS18NAUPONNONENANANANANA1.2
G13/0-inIOT12[B]LVCMOS18NAUPONNONENANANANANA1.2
G15/0-inIOT13[A]LVCMOS18NAUPONNONENANANANANA1.2
G14/0-inIOT13[B]LVCMOS18NAUPONNONENANANANANA1.2
G11/0-inIOT14[A]LVCMOS18NAUPONNONENANANANANA1.2
H12/0-inIOT14[B]LVCMOS18NAUPONNONENANANANANA1.2
G16/0-inIOT16[A]LVCMOS18NAUPONNONENANANANANA1.2
H15/0-inIOT16[B]LVCMOS18NAUPONNONENANANANANA1.2
H13/0-inIOT18[A]LVCMOS18NAUPONNONENANANANANA1.2
J12/0-inIOT18[B]LVCMOS18NAUPONNONENANANANANA1.2
H14/0-inIOT20[A]LVCMOS18NAUPONNONENANANANANA1.2
H16/0-inIOT20[B]LVCMOS18NAUPONNONENANANANANA1.2
J16/0-inIOT22[A]LVCMOS18NAUPONNONENANANANANA1.2
J14/0-inIOT22[B]LVCMOS18NAUPONNONENANANANANA1.2
J15/0-inIOT24[A]LVCMOS18NAUPONNONENANANANANA1.2
K16/0-inIOT24[B]LVCMOS18NAUPONNONENANANANANA1.2
H11/0clockinIOT27[A]LVCMOS18NAUPONNONENANANANANA1.2
J13/0-inIOT27[B]LVCMOS18NAUPONNONENANANANANA1.2
K14/1-inIOT30[A]LVCMOS18NAUPONNONENANANANANA1.8
K15/1-inIOT30[B]LVCMOS18NAUPONNONENANANANANA1.8
J11/1-inIOT32[A]LVCMOS18NAUPONNONENANANANANA1.8
L12/1-inIOT32[B]LVCMOS18NAUPONNONENANANANANA1.8
L16/1-inIOT34[A]LVCMOS18NAUPONNONENANANANANA1.8
L14/1LEDoutIOT34[B]LVCMOS188UPNANAOFFFASTNANANA1.8
K13/1-inIOT36[A]LVCMOS18NAUPONNONENANANANANA1.8
K12/1-inIOT36[B]LVCMOS18NAUPONNONENANANANANA1.8
K11/1-inIOT38[A]LVCMOS18NAUPONNONENANANANANA1.8
L13/1-inIOT38[B]LVCMOS18NAUPONNONENANANANANA1.8
M14/1-inIOT40[A]LVCMOS18NAUPONNONENANANANANA1.8
M15/1-inIOT40[B]LVCMOS18NAUPONNONENANANANANA1.8
D14/1-inIOT44[A]LVCMOS18NAUPONNONENANANANANA1.8
E15/1-inIOT44[B]LVCMOS18NAUPONNONENANANANANA1.8
N15/1-inIOT48[A]LVCMOS18NAUPONNONENANANANANA1.8
P16/1-inIOT48[B]LVCMOS18NAUPONNONENANANANANA1.8
N16/1-inIOT52[A]LVCMOS18NAUPONNONENANANANANA1.8
N14/1-inIOT52[B]LVCMOS18NAUPONNONENANANANANA1.8
P15/1-inIOT54[A]LVCMOS18NAUPONNONENANANANANA1.8
R16/1-inIOT54[B]LVCMOS18NAUPONNONENANANANANA1.8
A4/5-inIOB2[A]LVCMOS18NAUPONNONENANANANANA-
C5/5-inIOB2[B]LVCMOS18NAUPONNONENANANANANA-
D6/5-inIOB3[A]LVCMOS18NAUPONNONENANANANANA-
E7/5-inIOB3[B]LVCMOS18NAUPONNONENANANANANA-
A3/5-inIOB4[A]LVCMOS18NAUPONNONENANANANANA-
B4/5-inIOB4[B]LVCMOS18NAUPONNONENANANANANA-
A5/5-inIOB7[A]LVCMOS18NAUPONNONENANANANANA-
B6/5-inIOB7[B]LVCMOS18NAUPONNONENANANANANA-
B1/5-inIOB8[A]LVCMOS18NAUPONNONENANANANANA-
C2/5-inIOB8[B]LVCMOS18NAUPONNONENANANANANA-
D3/5-inIOB9[A]LVCMOS18NAUPONNONENANANANANA-
D1/5-inIOB9[B]LVCMOS18NAUPONNONENANANANANA-
E2/5-inIOB12[A]LVCMOS18NAUPONNONENANANANANA-
E3/5-inIOB12[B]LVCMOS18NAUPONNONENANANANANA-
B3/5-inIOB13[A]LVCMOS18NAUPONNONENANANANANA-
A2/5-inIOB13[B]LVCMOS18NAUPONNONENANANANANA-
C1/5-inIOB14[A]LVCMOS18NAUPONNONENANANANANA-
D2/5-inIOB14[B]LVCMOS18NAUPONNONENANANANANA-
E1/5-inIOB16[A]LVCMOS18NAUPONNONENANANANANA-
F2/5-inIOB16[B]LVCMOS18NAUPONNONENANANANANA-
F4/5-inIOB18[A]LVCMOS18NAUPONNONENANANANANA-
G6/5-inIOB18[B]LVCMOS18NAUPONNONENANANANANA-
F3/5-inIOB19[A]LVCMOS18NAUPONNONENANANANANA-
F1/5-inIOB19[B]LVCMOS18NAUPONNONENANANANANA-
G5/5-inIOB20[A]LVCMOS18NAUPONNONENANANANANA-
G4/5-inIOB20[B]LVCMOS18NAUPONNONENANANANANA-
G2/5-inIOB21[A]LVCMOS18NAUPONNONENANANANANA-
G3/5-inIOB21[B]LVCMOS18NAUPONNONENANANANANA-
F5/5-inIOB22[A]LVCMOS18NAUPONNONENANANANANA-
H6/5-inIOB22[B]LVCMOS18NAUPONNONENANANANANA-
G1/5-inIOB24[A]LVCMOS18NAUPONNONENANANANANA-
H2/5-inIOB24[B]LVCMOS18NAUPONNONENANANANANA-
H4/5-inIOB26[A]LVCMOS18NAUPONNONENANANANANA-
J6/5-inIOB26[B]LVCMOS18NAUPONNONENANANANANA-
J1/5-inIOB27[A]LVCMOS18NAUPONNONENANANANANA-
J3/5-inIOB27[B]LVCMOS18NAUPONNONENANANANANA-
L2/4-inIOB30[A]LVCMOS18NAUPONNONENANANANANA-
M1/4-inIOB30[B]LVCMOS18NAUPONNONENANANANANA-
H3/4-inIOB32[A]LVCMOS18NAUPONNONENANANANANA-
H1/4-inIOB32[B]LVCMOS18NAUPONNONENANANANANA-
J2/4-inIOB34[A]LVCMOS18NAUPONNONENANANANANA-
K1/4-inIOB34[B]LVCMOS18NAUPONNONENANANANANA-
H5/4-inIOB35[A]LVCMOS18NAUPONNONENANANANANA-
J4/4-inIOB35[B]LVCMOS18NAUPONNONENANANANANA-
K3/4-inIOB36[A]LVCMOS18NAUPONNONENANANANANA-
K2/4-inIOB36[B]LVCMOS18NAUPONNONENANANANANA-
J5/4-inIOB37[A]LVCMOS18NAUPONNONENANANANANA-
K6/4-inIOB37[B]LVCMOS18NAUPONNONENANANANANA-
L1/4-inIOB38[A]LVCMOS18NAUPONNONENANANANANA-
L3/4-inIOB38[B]LVCMOS18NAUPONNONENANANANANA-
K4/4-inIOB39[A]LVCMOS18NAUPONNONENANANANANA-
L5/4-inIOB39[B]LVCMOS18NAUPONNONENANANANANA-
K5/4-inIOB40[A]LVCMOS18NAUPONNONENANANANANA-
L4/4-inIOB40[B]LVCMOS18NAUPONNONENANANANANA-
N2/4-inIOB41[A]LVCMOS18NAUPONNONENANANANANA-
P1/4-inIOB41[B]LVCMOS18NAUPONNONENANANANANA-
M3/4-inIOB42[A]LVCMOS18NAUPONNONENANANANANA-
N1/4-inIOB42[B]LVCMOS18NAUPONNONENANANANANA-
M2/4-inIOB43[A]LVCMOS18NAUPONNONENANANANANA-
N3/4-inIOB43[B]LVCMOS18NAUPONNONENANANANANA-
R1/4-inIOB44[A]LVCMOS18NAUPONNONENANANANANA-
P2/4-inIOB44[B]LVCMOS18NAUPONNONENANANANANA-
P4/4-inIOB45[A]LVCMOS18NAUPONNONENANANANANA-
T4/4-inIOB45[B]LVCMOS18NAUPONNONENANANANANA-
R3/4-inIOB48[A]LVCMOS18NAUPONNONENANANANANA-
T2/4-inIOB48[B]LVCMOS18NAUPONNONENANANANANA-
P5/4-inIOB50[A]LVCMOS18NAUPONNONENANANANANA-
R5/4-inIOB50[B]LVCMOS18NAUPONNONENANANANANA-
R4/4-inIOB52[A]LVCMOS18NAUPONNONENANANANANA-
T3/4-inIOB52[B]LVCMOS18NAUPONNONENANANANANA-
R6/4-inIOB54[A]LVCMOS18NAUPONNONENANANANANA-
T5/4-inIOB54[B]LVCMOS18NAUPONNONENANANANANA-
B14/7-inIOL2[A]LVCMOS18NAUPONNONENANANANANA-
A15/7-inIOL2[B]LVCMOS18NAUPONNONENANANANANA-
C12/7-inIOL7[A]LVCMOS18NAUPONNONENANANANANA-
B12/7-inIOL7[B]LVCMOS18NAUPONNONENANANANANA-
B13/7-inIOL8[A]LVCMOS18NAUPONNONENANANANANA-
A14/7-inIOL8[B]LVCMOS18NAUPONNONENANANANANA-
F10/7-inIOL11[A]LVCMOS18NAUPONNONENANANANANA-
B11/7-inIOL13[A]LVCMOS18NAUPONNONENANANANANA-
A12/7-inIOL13[B]LVCMOS18NAUPONNONENANANANANA-
A11/7-inIOL15[A]LVCMOS18NAUPONNONENANANANANA-
C11/7-inIOL15[B]LVCMOS18NAUPONNONENANANANANA-
D10/7-inIOL17[A]LVCMOS18NAUPONNONENANANANANA-
E10/7-inIOL17[B]LVCMOS18NAUPONNONENANANANANA-
D11/7-inIOL22[A]LVCMOS18NAUPONNONENANANANANA-
A9/7-inIOL27[A]LVCMOS18NAUPONNONENANANANANA-
C9/7-inIOL27[B]LVCMOS18NAUPONNONENANANANANA-
C8/6-inIOL29[A]LVCMOS18NAUPONNONENANANANANA-
A8/6-inIOL29[B]LVCMOS18NAUPONNONENANANANANA-
F9/6-inIOL31[A]LVCMOS18NAUPONNONENANANANANA-
E11/6-inIOL31[B]LVCMOS18NAUPONNONENANANANANA-
B9/6-inIOL33[A]LVCMOS18NAUPONNONENANANANANA-
A10/6-inIOL33[B]LVCMOS18NAUPONNONENANANANANA-
F8/6-inIOL35[A]LVCMOS18NAUPONNONENANANANANA-
D9/6-inIOL35[B]LVCMOS18NAUPONNONENANANANANA-
D8/6-inIOL38[A]LVCMOS18NAUPONNONENANANANANA-
E9/6-inIOL38[B]LVCMOS18NAUPONNONENANANANANA-
B7/6-inIOL40[A]LVCMOS18NAUPONNONENANANANANA-
C7/6-inIOL40[B]LVCMOS18NAUPONNONENANANANANA-
F7/6-inIOL45[A]LVCMOS18NAUPONNONENANANANANA-
E8/6-inIOL45[B]LVCMOS18NAUPONNONENANANANANA-
C4/6-inIOL47[A]LVCMOS18NAUPONNONENANANANANA-
B5/6-inIOL47[B]LVCMOS18NAUPONNONENANANANANA-
E6/6-inIOL53[A]LVCMOS18NAUPONNONENANANANANA-
D7/6-inIOL53[B]LVCMOS18NAUPONNONENANANANANA-
T15/2-inIOR7[A]LVCMOS18NAUPONNONENANANANANA1.8
R14/2-inIOR7[B]LVCMOS18NAUPONNONENANANANANA1.8
P12/2-inIOR8[A]LVCMOS18NAUPONNONENANANANANA1.8
T13/2-inIOR8[B]LVCMOS18NAUPONNONENANANANANA1.8
R12/2-inIOR11[A]LVCMOS18NAUPONNONENANANANANA1.8
P13/2-inIOR11[B]LVCMOS18NAUPONNONENANANANANA1.8
R11/2-inIOR17[A]LVCMOS18NAUPONNONENANANANANA1.8
T12/2-inIOR17[B]LVCMOS18NAUPONNONENANANANANA1.8
R13/2-inIOR20[A]LVCMOS18NAUPONNONENANANANANA1.8
T14/2-inIOR20[B]LVCMOS18NAUPONNONENANANANANA1.8
M10/2-inIOR22[A]LVCMOS18NAUPONNONENANANANANA1.8
N11/2-inIOR22[B]LVCMOS18NAUPONNONENANANANANA1.8
T11/2-inIOR24[A]LVCMOS18NAUPONNONENANANANANA1.8
P11/2-inIOR24[B]LVCMOS18NAUPONNONENANANANANA1.8
C6/2-outIOR25[A]LVCMOS188UPNANAOFFFASTNANANA1.8
B8/2-inIOR25[B]LVCMOS18NAUPONNONENANANANANA1.8
A7/2-inIOR26[A]LVCMOS18NAUPONNONENANANANANA1.8
A6/2-inIOR26[B]LVCMOS18NAUPONNONENANANANANA1.8
N10/2-inIOR27[A]LVCMOS18NAUPONNONENANANANANA1.8
M11/2txoutIOR27[B]LVCMOS188UPNANAOFFFASTNAOFFNA1.8
T7/3-inIOR29[A]LVCMOS18NAUPONNONENANANANANA-
R8/3-inIOR29[B]LVCMOS18NAUPONNONENANANANANA-
M16/3-inIOR30[A]LVCMOS18NAUPONNONENANANANANA-
B16/3-inIOR30[B]LVCMOS18NAUPONNONENANANANANA-
C15/3-inIOR31[A]LVCMOS18NAUPONNONENANANANANA-
B10/3-inIOR31[B]LVCMOS18NAUPONNONENANANANANA-
A13/3-inIOR32[A]LVCMOS18NAUPONNONENANANANANA-
C13/3-inIOR32[B]LVCMOS18NAUPONNONENANANANANA-
P10/3-inIOR33[A]LVCMOS18NAUPONNONENANANANANA-
R10/3-inIOR33[B]LVCMOS18NAUPONNONENANANANANA-
M9/3-inIOR34[A]LVCMOS18NAUPONNONENANANANANA-
L10/3-inIOR34[B]LVCMOS18NAUPONNONENANANANANA-
R9/3-inIOR35[A]LVCMOS18NAUPONNONENANANANANA-
T10/3-inIOR35[B]LVCMOS18NAUPONNONENANANANANA-
M8/3-inIOR36[A]LVCMOS18NAUPONNONENANANANANA-
N9/3-inIOR36[B]LVCMOS18NAUPONNONENANANANANA-
T9/3-inIOR38[A]LVCMOS18NAUPONNONENANANANANA-
P9/3-inIOR38[B]LVCMOS18NAUPONNONENANANANANA-
C10/3-inIOR39[A]LVCMOS18NAUPONNONENANANANANA-
N8/3-inIOR40[A]LVCMOS18NAUPONNONENANANANANA-
L9/3-inIOR40[B]LVCMOS18NAUPONNONENANANANANA-
P8/3-inIOR42[A]LVCMOS18NAUPONNONENANANANANA-
T8/3-inIOR42[B]LVCMOS18NAUPONNONENANANANANA-
M6/3-inIOR44[A]LVCMOS18NAUPONNONENANANANANA-
L8/3-inIOR44[B]LVCMOS18NAUPONNONENANANANANA-
M7/3-inIOR47[A]LVCMOS18NAUPONNONENANANANANA-
N7/3-inIOR47[B]LVCMOS18NAUPONNONENANANANANA-
R7/3-inIOR49[A]LVCMOS18NAUPONNONENANANANANA-
P7/3-inIOR49[B]LVCMOS18NAUPONNONENANANANANA-
N6/3-inIOR51[A]LVCMOS18NAUPONNONENANANANANA-
P6/3-inIOR53[A]LVCMOS18NAUPONNONENANANANANA-
T6/3-inIOR53[B]LVCMOS18NAUPONNONENANANANANA-
+
+
+
+ + diff --git a/impl/pnr/cpu.power.html b/impl/pnr/cpu.power.html new file mode 100644 index 0000000..939f12a --- /dev/null +++ b/impl/pnr/cpu.power.html @@ -0,0 +1,279 @@ + + + +Power Analysis Report + + + +
+ +
+

Power Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePower Analysis Report
Design FileC:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg
Physical Constraints FileC:\Users\kuroc\Downloads\cpu\src\cpu.cst
Timing Constraints File---
VersionV1.9.8.09 Education
Part NumberGW2A-LV18PG256C8/I7
DeviceGW2A-18C
Created TimeThu May 18 11:35:38 2023 +
Legal AnnouncementCopyright (C)2014-2022 Gowin Semiconductor Corporation. All rights reserved.
+

Power Summary

+

Power Information:

+ + + + + + + + + + + + + +
Total Power (mW)163.969
Quiescent Power (mW)160.429
Dynamic Power (mW)3.540
+

Thermal Information:

+ + + + + + + + + + + + + +
Junction Temperature30.250
Theta JA32.020
Max Allowed Ambient Temperature79.750
+

Configure Information:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Default IO Toggle Rate0.125
Default Remain Toggle Rate0.125
Use Vectorless Estimationfalse
Filter Glitchesfalse
Related Vcd File
Related Saif File
Use Custom Theta JAfalse
Air FlowLFM_0
Heat SinkNone
Use Custom Theta SAfalse
Board Thermal ModelNone
Use Custom Theta JBfalse
Ambient Temperature25.000 +
+

Supply Information:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Voltage SourceVoltageDynamic Current(mA)Quiescent Current(mA)Power(mW)
VCC1.0001.873101.834103.707
VCCX2.5000.43223.36659.493
VCCO121.2000.3150.0120.393
VCCO181.8000.1160.0930.376
+

Power Details

+

Power By Block Type:

+ + + + + + + + + + + + + + + + +
Block TypeTotal Power(mW)Static Power(mW)Average Toggle Rate(millions of transitions/sec)
Logic1.432NA12.500
IO2.651 +0.550 +41.667 +
+

Power By Hierarchy:

+ + + + + + + + + + + + + + + + + + + + + + +
Hierarchy EntityTotal Power(mW)Block Dynamic Power(mW)
TOP1.4321.432(1.432)
TOP/core0/0.1260.126(0.000)
TOP/mem0/1.0031.003(0.000)
TOP/uart0/0.3030.303(0.000)
+

Power By Clock Domain:

+ + + + + + + + + + + +
Clock DomainClock Frequency(Mhz)Total Dynamic Power(mW)
clock100.0001.439
+
+
+ + diff --git a/impl/pnr/cpu.rpt.html b/impl/pnr/cpu.rpt.html new file mode 100644 index 0000000..af8cbd0 --- /dev/null +++ b/impl/pnr/cpu.rpt.html @@ -0,0 +1,3959 @@ + + + +PnR Analysis Report + + + +
+ +
+

PnR Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePnR Report
Design FileC:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg
Physical Constraints FileC:\Users\kuroc\Downloads\cpu\src\cpu.cst
Timing Constraints File---
VersionV1.9.8.09 Education
Part NumberGW2A-LV18PG256C8/I7
DeviceGW2A-18C
Created TimeThu May 18 11:35:38 2023 +
Legal AnnouncementCopyright (C)2014-2022 Gowin Semiconductor Corporation. All rights reserved.
+

PnR Details

+ + + + + + + + + + +
Place & Route ProcessRunning placement: + Placement Phase 0: CPU time = 0h 0m 0.054s, Elapsed time = 0h 0m 0.055s + Placement Phase 1: CPU time = 0h 0m 0.297s, Elapsed time = 0h 0m 0.296s + Placement Phase 2: CPU time = 0h 0m 0.092s, Elapsed time = 0h 0m 0.092s + Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s +Running routing: + Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.001s + Routing Phase 1: CPU time = 0h 0m 0.153s, Elapsed time = 0h 0m 0.153s + Routing Phase 2: CPU time = 0h 0m 0.926s, Elapsed time = 0h 0m 0.926s + Total Routing: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s +Generate output files: + CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s +
Total Time and Memory UsageCPU time = 0h 0m 5s, Elapsed time = 0h 0m 5s, Peak memory usage = 317MB
+
+

Resource

+ +

Resource Usage Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic1034/207364%
    --LUT,ALU,ROM161034(994 LUT, 40 ALU, 0 ROM16)-
    --SSRAM(RAM16)0-
Register300/161731%
    --Logic Register as Latch0/155520%
    --Logic Register as FF300/155521%
    --I/O Register as Latch0/6210%
    --I/O Register as FF0/6210%
CLS612/103685%
I/O Port3-
I/O Buf3-
    --Input Buf1-
    --Output Buf2-
    --Inout Buf0-
IOLOGIC00%
BSRAM00%
DSP00%
PLL0/40%
DCS0/80%
DQCE0/240%
OSC0/10%
CLKDIV0/80%
DLLDLY0/80%
DQS0/90%
DHCEN0/160%
+

I/O Bank Usage Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
I/O BankUsage
bank 01/29(3%)
bank 11/20(5%)
bank 21/20(5%)
bank 30/32(0%)
bank 40/36(0%)
bank 50/36(0%)
bank 60/18(0%)
bank 70/16(0%)
+
+

Global Clock Usage Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Global ClockUsage
PRIMARY1/8(12%)
LW0/8(0%)
GCLK_PIN1/8(12%)
PLL0/4(0%)
CLKDIV0/8(0%)
DLLDLY0/8(0%)
+
+

Global Clock Signals:

+ + + + + + + + + + + +
SignalGlobal ClockLocation
clock_dPRIMARY TR
+
+

Pinout by Port Name:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Port NameDiff PairLoc./BankConstraintDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainSlew RateVrefSingle ResistorDiff ResistorBankVccio
clockH11/0YinIOT27[A]LVCMOS18NAUPONNONENANANANANA1.2
LEDL14/1YoutIOT34[B]LVCMOS188UPNANAOFFFASTNANANA1.8
txM11/2YoutIOR27[B]LVCMOS188UPNANAOFFFASTNAOFFNA1.8
+
+

All Package Pins:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Loc./BankSignalDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainSlew RateVrefSingle ResistorDiff ResistorBank Vccio
L15/0-inIOT2[A]LVCMOS18NAUPONNONENANANANANA1.2
D16/0-inIOT4[A]LVCMOS18NAUPONNONENANANANANA1.2
E14/0-inIOT4[B]LVCMOS18NAUPONNONENANANANANA1.2
C16/0-inIOT5[A]LVCMOS18NAUPONNONENANANANANA1.2
D15/0-inIOT5[B]LVCMOS18NAUPONNONENANANANANA1.2
E16/0-inIOT6[A]LVCMOS18NAUPONNONENANANANANA1.2
F15/0-inIOT6[B]LVCMOS18NAUPONNONENANANANANA1.2
F13/0-inIOT8[A]LVCMOS18NAUPONNONENANANANANA1.2
G12/0-inIOT8[B]LVCMOS18NAUPONNONENANANANANA1.2
F14/0-inIOT9[A]LVCMOS18NAUPONNONENANANANANA1.2
F16/0-inIOT9[B]LVCMOS18NAUPONNONENANANANANA1.2
F12/0-inIOT12[A]LVCMOS18NAUPONNONENANANANANA1.2
G13/0-inIOT12[B]LVCMOS18NAUPONNONENANANANANA1.2
G15/0-inIOT13[A]LVCMOS18NAUPONNONENANANANANA1.2
G14/0-inIOT13[B]LVCMOS18NAUPONNONENANANANANA1.2
G11/0-inIOT14[A]LVCMOS18NAUPONNONENANANANANA1.2
H12/0-inIOT14[B]LVCMOS18NAUPONNONENANANANANA1.2
G16/0-inIOT16[A]LVCMOS18NAUPONNONENANANANANA1.2
H15/0-inIOT16[B]LVCMOS18NAUPONNONENANANANANA1.2
H13/0-inIOT18[A]LVCMOS18NAUPONNONENANANANANA1.2
J12/0-inIOT18[B]LVCMOS18NAUPONNONENANANANANA1.2
H14/0-inIOT20[A]LVCMOS18NAUPONNONENANANANANA1.2
H16/0-inIOT20[B]LVCMOS18NAUPONNONENANANANANA1.2
J16/0-inIOT22[A]LVCMOS18NAUPONNONENANANANANA1.2
J14/0-inIOT22[B]LVCMOS18NAUPONNONENANANANANA1.2
J15/0-inIOT24[A]LVCMOS18NAUPONNONENANANANANA1.2
K16/0-inIOT24[B]LVCMOS18NAUPONNONENANANANANA1.2
H11/0clockinIOT27[A]LVCMOS18NAUPONNONENANANANANA1.2
J13/0-inIOT27[B]LVCMOS18NAUPONNONENANANANANA1.2
K14/1-inIOT30[A]LVCMOS18NAUPONNONENANANANANA1.8
K15/1-inIOT30[B]LVCMOS18NAUPONNONENANANANANA1.8
J11/1-inIOT32[A]LVCMOS18NAUPONNONENANANANANA1.8
L12/1-inIOT32[B]LVCMOS18NAUPONNONENANANANANA1.8
L16/1-inIOT34[A]LVCMOS18NAUPONNONENANANANANA1.8
L14/1LEDoutIOT34[B]LVCMOS188UPNANAOFFFASTNANANA1.8
K13/1-inIOT36[A]LVCMOS18NAUPONNONENANANANANA1.8
K12/1-inIOT36[B]LVCMOS18NAUPONNONENANANANANA1.8
K11/1-inIOT38[A]LVCMOS18NAUPONNONENANANANANA1.8
L13/1-inIOT38[B]LVCMOS18NAUPONNONENANANANANA1.8
M14/1-inIOT40[A]LVCMOS18NAUPONNONENANANANANA1.8
M15/1-inIOT40[B]LVCMOS18NAUPONNONENANANANANA1.8
D14/1-inIOT44[A]LVCMOS18NAUPONNONENANANANANA1.8
E15/1-inIOT44[B]LVCMOS18NAUPONNONENANANANANA1.8
N15/1-inIOT48[A]LVCMOS18NAUPONNONENANANANANA1.8
P16/1-inIOT48[B]LVCMOS18NAUPONNONENANANANANA1.8
N16/1-inIOT52[A]LVCMOS18NAUPONNONENANANANANA1.8
N14/1-inIOT52[B]LVCMOS18NAUPONNONENANANANANA1.8
P15/1-inIOT54[A]LVCMOS18NAUPONNONENANANANANA1.8
R16/1-inIOT54[B]LVCMOS18NAUPONNONENANANANANA1.8
A4/5-inIOB2[A]LVCMOS18NAUPONNONENANANANANA-
C5/5-inIOB2[B]LVCMOS18NAUPONNONENANANANANA-
D6/5-inIOB3[A]LVCMOS18NAUPONNONENANANANANA-
E7/5-inIOB3[B]LVCMOS18NAUPONNONENANANANANA-
A3/5-inIOB4[A]LVCMOS18NAUPONNONENANANANANA-
B4/5-inIOB4[B]LVCMOS18NAUPONNONENANANANANA-
A5/5-inIOB7[A]LVCMOS18NAUPONNONENANANANANA-
B6/5-inIOB7[B]LVCMOS18NAUPONNONENANANANANA-
B1/5-inIOB8[A]LVCMOS18NAUPONNONENANANANANA-
C2/5-inIOB8[B]LVCMOS18NAUPONNONENANANANANA-
D3/5-inIOB9[A]LVCMOS18NAUPONNONENANANANANA-
D1/5-inIOB9[B]LVCMOS18NAUPONNONENANANANANA-
E2/5-inIOB12[A]LVCMOS18NAUPONNONENANANANANA-
E3/5-inIOB12[B]LVCMOS18NAUPONNONENANANANANA-
B3/5-inIOB13[A]LVCMOS18NAUPONNONENANANANANA-
A2/5-inIOB13[B]LVCMOS18NAUPONNONENANANANANA-
C1/5-inIOB14[A]LVCMOS18NAUPONNONENANANANANA-
D2/5-inIOB14[B]LVCMOS18NAUPONNONENANANANANA-
E1/5-inIOB16[A]LVCMOS18NAUPONNONENANANANANA-
F2/5-inIOB16[B]LVCMOS18NAUPONNONENANANANANA-
F4/5-inIOB18[A]LVCMOS18NAUPONNONENANANANANA-
G6/5-inIOB18[B]LVCMOS18NAUPONNONENANANANANA-
F3/5-inIOB19[A]LVCMOS18NAUPONNONENANANANANA-
F1/5-inIOB19[B]LVCMOS18NAUPONNONENANANANANA-
G5/5-inIOB20[A]LVCMOS18NAUPONNONENANANANANA-
G4/5-inIOB20[B]LVCMOS18NAUPONNONENANANANANA-
G2/5-inIOB21[A]LVCMOS18NAUPONNONENANANANANA-
G3/5-inIOB21[B]LVCMOS18NAUPONNONENANANANANA-
F5/5-inIOB22[A]LVCMOS18NAUPONNONENANANANANA-
H6/5-inIOB22[B]LVCMOS18NAUPONNONENANANANANA-
G1/5-inIOB24[A]LVCMOS18NAUPONNONENANANANANA-
H2/5-inIOB24[B]LVCMOS18NAUPONNONENANANANANA-
H4/5-inIOB26[A]LVCMOS18NAUPONNONENANANANANA-
J6/5-inIOB26[B]LVCMOS18NAUPONNONENANANANANA-
J1/5-inIOB27[A]LVCMOS18NAUPONNONENANANANANA-
J3/5-inIOB27[B]LVCMOS18NAUPONNONENANANANANA-
L2/4-inIOB30[A]LVCMOS18NAUPONNONENANANANANA-
M1/4-inIOB30[B]LVCMOS18NAUPONNONENANANANANA-
H3/4-inIOB32[A]LVCMOS18NAUPONNONENANANANANA-
H1/4-inIOB32[B]LVCMOS18NAUPONNONENANANANANA-
J2/4-inIOB34[A]LVCMOS18NAUPONNONENANANANANA-
K1/4-inIOB34[B]LVCMOS18NAUPONNONENANANANANA-
H5/4-inIOB35[A]LVCMOS18NAUPONNONENANANANANA-
J4/4-inIOB35[B]LVCMOS18NAUPONNONENANANANANA-
K3/4-inIOB36[A]LVCMOS18NAUPONNONENANANANANA-
K2/4-inIOB36[B]LVCMOS18NAUPONNONENANANANANA-
J5/4-inIOB37[A]LVCMOS18NAUPONNONENANANANANA-
K6/4-inIOB37[B]LVCMOS18NAUPONNONENANANANANA-
L1/4-inIOB38[A]LVCMOS18NAUPONNONENANANANANA-
L3/4-inIOB38[B]LVCMOS18NAUPONNONENANANANANA-
K4/4-inIOB39[A]LVCMOS18NAUPONNONENANANANANA-
L5/4-inIOB39[B]LVCMOS18NAUPONNONENANANANANA-
K5/4-inIOB40[A]LVCMOS18NAUPONNONENANANANANA-
L4/4-inIOB40[B]LVCMOS18NAUPONNONENANANANANA-
N2/4-inIOB41[A]LVCMOS18NAUPONNONENANANANANA-
P1/4-inIOB41[B]LVCMOS18NAUPONNONENANANANANA-
M3/4-inIOB42[A]LVCMOS18NAUPONNONENANANANANA-
N1/4-inIOB42[B]LVCMOS18NAUPONNONENANANANANA-
M2/4-inIOB43[A]LVCMOS18NAUPONNONENANANANANA-
N3/4-inIOB43[B]LVCMOS18NAUPONNONENANANANANA-
R1/4-inIOB44[A]LVCMOS18NAUPONNONENANANANANA-
P2/4-inIOB44[B]LVCMOS18NAUPONNONENANANANANA-
P4/4-inIOB45[A]LVCMOS18NAUPONNONENANANANANA-
T4/4-inIOB45[B]LVCMOS18NAUPONNONENANANANANA-
R3/4-inIOB48[A]LVCMOS18NAUPONNONENANANANANA-
T2/4-inIOB48[B]LVCMOS18NAUPONNONENANANANANA-
P5/4-inIOB50[A]LVCMOS18NAUPONNONENANANANANA-
R5/4-inIOB50[B]LVCMOS18NAUPONNONENANANANANA-
R4/4-inIOB52[A]LVCMOS18NAUPONNONENANANANANA-
T3/4-inIOB52[B]LVCMOS18NAUPONNONENANANANANA-
R6/4-inIOB54[A]LVCMOS18NAUPONNONENANANANANA-
T5/4-inIOB54[B]LVCMOS18NAUPONNONENANANANANA-
B14/7-inIOL2[A]LVCMOS18NAUPONNONENANANANANA-
A15/7-inIOL2[B]LVCMOS18NAUPONNONENANANANANA-
C12/7-inIOL7[A]LVCMOS18NAUPONNONENANANANANA-
B12/7-inIOL7[B]LVCMOS18NAUPONNONENANANANANA-
B13/7-inIOL8[A]LVCMOS18NAUPONNONENANANANANA-
A14/7-inIOL8[B]LVCMOS18NAUPONNONENANANANANA-
F10/7-inIOL11[A]LVCMOS18NAUPONNONENANANANANA-
B11/7-inIOL13[A]LVCMOS18NAUPONNONENANANANANA-
A12/7-inIOL13[B]LVCMOS18NAUPONNONENANANANANA-
A11/7-inIOL15[A]LVCMOS18NAUPONNONENANANANANA-
C11/7-inIOL15[B]LVCMOS18NAUPONNONENANANANANA-
D10/7-inIOL17[A]LVCMOS18NAUPONNONENANANANANA-
E10/7-inIOL17[B]LVCMOS18NAUPONNONENANANANANA-
D11/7-inIOL22[A]LVCMOS18NAUPONNONENANANANANA-
A9/7-inIOL27[A]LVCMOS18NAUPONNONENANANANANA-
C9/7-inIOL27[B]LVCMOS18NAUPONNONENANANANANA-
C8/6-inIOL29[A]LVCMOS18NAUPONNONENANANANANA-
A8/6-inIOL29[B]LVCMOS18NAUPONNONENANANANANA-
F9/6-inIOL31[A]LVCMOS18NAUPONNONENANANANANA-
E11/6-inIOL31[B]LVCMOS18NAUPONNONENANANANANA-
B9/6-inIOL33[A]LVCMOS18NAUPONNONENANANANANA-
A10/6-inIOL33[B]LVCMOS18NAUPONNONENANANANANA-
F8/6-inIOL35[A]LVCMOS18NAUPONNONENANANANANA-
D9/6-inIOL35[B]LVCMOS18NAUPONNONENANANANANA-
D8/6-inIOL38[A]LVCMOS18NAUPONNONENANANANANA-
E9/6-inIOL38[B]LVCMOS18NAUPONNONENANANANANA-
B7/6-inIOL40[A]LVCMOS18NAUPONNONENANANANANA-
C7/6-inIOL40[B]LVCMOS18NAUPONNONENANANANANA-
F7/6-inIOL45[A]LVCMOS18NAUPONNONENANANANANA-
E8/6-inIOL45[B]LVCMOS18NAUPONNONENANANANANA-
C4/6-inIOL47[A]LVCMOS18NAUPONNONENANANANANA-
B5/6-inIOL47[B]LVCMOS18NAUPONNONENANANANANA-
E6/6-inIOL53[A]LVCMOS18NAUPONNONENANANANANA-
D7/6-inIOL53[B]LVCMOS18NAUPONNONENANANANANA-
T15/2-inIOR7[A]LVCMOS18NAUPONNONENANANANANA1.8
R14/2-inIOR7[B]LVCMOS18NAUPONNONENANANANANA1.8
P12/2-inIOR8[A]LVCMOS18NAUPONNONENANANANANA1.8
T13/2-inIOR8[B]LVCMOS18NAUPONNONENANANANANA1.8
R12/2-inIOR11[A]LVCMOS18NAUPONNONENANANANANA1.8
P13/2-inIOR11[B]LVCMOS18NAUPONNONENANANANANA1.8
R11/2-inIOR17[A]LVCMOS18NAUPONNONENANANANANA1.8
T12/2-inIOR17[B]LVCMOS18NAUPONNONENANANANANA1.8
R13/2-inIOR20[A]LVCMOS18NAUPONNONENANANANANA1.8
T14/2-inIOR20[B]LVCMOS18NAUPONNONENANANANANA1.8
M10/2-inIOR22[A]LVCMOS18NAUPONNONENANANANANA1.8
N11/2-inIOR22[B]LVCMOS18NAUPONNONENANANANANA1.8
T11/2-inIOR24[A]LVCMOS18NAUPONNONENANANANANA1.8
P11/2-inIOR24[B]LVCMOS18NAUPONNONENANANANANA1.8
C6/2-outIOR25[A]LVCMOS188UPNANAOFFFASTNANANA1.8
B8/2-inIOR25[B]LVCMOS18NAUPONNONENANANANANA1.8
A7/2-inIOR26[A]LVCMOS18NAUPONNONENANANANANA1.8
A6/2-inIOR26[B]LVCMOS18NAUPONNONENANANANANA1.8
N10/2-inIOR27[A]LVCMOS18NAUPONNONENANANANANA1.8
M11/2txoutIOR27[B]LVCMOS188UPNANAOFFFASTNAOFFNA1.8
T7/3-inIOR29[A]LVCMOS18NAUPONNONENANANANANA-
R8/3-inIOR29[B]LVCMOS18NAUPONNONENANANANANA-
M16/3-inIOR30[A]LVCMOS18NAUPONNONENANANANANA-
B16/3-inIOR30[B]LVCMOS18NAUPONNONENANANANANA-
C15/3-inIOR31[A]LVCMOS18NAUPONNONENANANANANA-
B10/3-inIOR31[B]LVCMOS18NAUPONNONENANANANANA-
A13/3-inIOR32[A]LVCMOS18NAUPONNONENANANANANA-
C13/3-inIOR32[B]LVCMOS18NAUPONNONENANANANANA-
P10/3-inIOR33[A]LVCMOS18NAUPONNONENANANANANA-
R10/3-inIOR33[B]LVCMOS18NAUPONNONENANANANANA-
M9/3-inIOR34[A]LVCMOS18NAUPONNONENANANANANA-
L10/3-inIOR34[B]LVCMOS18NAUPONNONENANANANANA-
R9/3-inIOR35[A]LVCMOS18NAUPONNONENANANANANA-
T10/3-inIOR35[B]LVCMOS18NAUPONNONENANANANANA-
M8/3-inIOR36[A]LVCMOS18NAUPONNONENANANANANA-
N9/3-inIOR36[B]LVCMOS18NAUPONNONENANANANANA-
T9/3-inIOR38[A]LVCMOS18NAUPONNONENANANANANA-
P9/3-inIOR38[B]LVCMOS18NAUPONNONENANANANANA-
C10/3-inIOR39[A]LVCMOS18NAUPONNONENANANANANA-
N8/3-inIOR40[A]LVCMOS18NAUPONNONENANANANANA-
L9/3-inIOR40[B]LVCMOS18NAUPONNONENANANANANA-
P8/3-inIOR42[A]LVCMOS18NAUPONNONENANANANANA-
T8/3-inIOR42[B]LVCMOS18NAUPONNONENANANANANA-
M6/3-inIOR44[A]LVCMOS18NAUPONNONENANANANANA-
L8/3-inIOR44[B]LVCMOS18NAUPONNONENANANANANA-
M7/3-inIOR47[A]LVCMOS18NAUPONNONENANANANANA-
N7/3-inIOR47[B]LVCMOS18NAUPONNONENANANANANA-
R7/3-inIOR49[A]LVCMOS18NAUPONNONENANANANANA-
P7/3-inIOR49[B]LVCMOS18NAUPONNONENANANANANA-
N6/3-inIOR51[A]LVCMOS18NAUPONNONENANANANANA-
P6/3-inIOR53[A]LVCMOS18NAUPONNONENANANANANA-
T6/3-inIOR53[B]LVCMOS18NAUPONNONENANANANANA-
+
+
+
+ + diff --git a/impl/pnr/cpu.rpt.txt b/impl/pnr/cpu.rpt.txt new file mode 100644 index 0000000..eecaeae --- /dev/null +++ b/impl/pnr/cpu.rpt.txt @@ -0,0 +1,340 @@ +//Copyright (C)2014-2022 Gowin Semiconductor Corporation. +//All rights reserved. + + +1. PnR Messages + + : PnR Report + : C:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg + : C:\Users\kuroc\Downloads\cpu\src\cpu.cst + : --- + : V1.9.8.09 Education + : GW2A-LV18PG256C8/I7 + : GW2A-18C + :Thu May 18 11:35:38 2023 + + +2. PnR Details + + Running placement: + Placement Phase 0: CPU time = 0h 0m 0.054s, Elapsed time = 0h 0m 0.055s + Placement Phase 1: CPU time = 0h 0m 0.297s, Elapsed time = 0h 0m 0.296s + Placement Phase 2: CPU time = 0h 0m 0.092s, Elapsed time = 0h 0m 0.092s + Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s + Running routing: + Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.001s + Routing Phase 1: CPU time = 0h 0m 0.153s, Elapsed time = 0h 0m 0.153s + Routing Phase 2: CPU time = 0h 0m 0.926s, Elapsed time = 0h 0m 0.926s + Total Routing: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Generate output files: + CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s + + Total Time and Memory Usage: CPU time = 0h 0m 5s, Elapsed time = 0h 0m 5s, Peak memory usage = 317MB + + +3. Resource Usage Summary + + ---------------------------------------------------------- + Resources | Usage + ---------------------------------------------------------- + Logic | 1034/20736 4% + --LUT,ALU,ROM16 | 1034(994 LUT, 40 ALU, 0 ROM16) + --SSRAM(RAM16) | 0 + Register | 300/16173 1% + --Logic Register as Latch | 0/15552 0% + --Logic Register as FF | 300/15552 1% + --I/O Register as Latch | 0/621 0% + --I/O Register as FF | 0/621 0% + CLS | 612/10368 5% + I/O Port | 3 + I/O Buf | 3 + --Input Buf | 1 + --Output Buf | 2 + --Inout Buf | 0 + IOLOGIC | 0% + BSRAM | 0% + DSP | 0% + PLL | 0/4 0% + DCS | 0/8 0% + DQCE | 0/24 0% + OSC | 0/1 0% + CLKDIV | 0/8 0% + DLLDLY | 0/8 0% + DQS | 0/9 0% + DHCEN | 0/16 0% + ========================================================== + + + +4. I/O Bank Usage Summary + + ----------------------- + I/O Bank | Usage + ----------------------- + bank 0 | 1/29(3%) + bank 1 | 1/20(5%) + bank 2 | 1/20(5%) + bank 3 | 0/32(0%) + bank 4 | 0/36(0%) + bank 5 | 0/36(0%) + bank 6 | 0/18(0%) + bank 7 | 0/16(0%) + ======================= + + +5. Global Clock Usage Summary + + ------------------------------- + Global Clock | Usage + ------------------------------- + PRIMARY | 1/8(12%) + LW | 0/8(0%) + GCLK_PIN | 1/8(12%) + PLL | 0/4(0%) + CLKDIV | 0/8(0%) + DLLDLY | 0/8(0%) + =============================== + + +6. Global Clock Signals + + ------------------------------------------- + Signal | Global Clock | Location + ------------------------------------------- + clock_d | PRIMARY | TR + =========================================== + + +7. Pinout by Port Name + +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +Port Name | Diff Pair | Loc./Bank | Constraint | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Slew Rate | Vref | Single Resistor | Diff Resistor | BankVccio +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +clock | | H11/0 | Y | in | IOT27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +LED | | L14/1 | Y | out | IOT34[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | FAST | NA | NA | NA | 1.8 +tx | | M11/2 | Y | out | IOR27[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | FAST | NA | OFF | NA | 1.8 +================================================================================================================================================================================================================== + + + + +8. All Package Pins + +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +Loc./Bank| Signal | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Slew Rate | Vref | Single Resistor | Diff Resistor | Bank Vccio +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +L15/0 | - | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +D16/0 | - | in | IOT4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +E14/0 | - | in | IOT4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +C16/0 | - | in | IOT5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +D15/0 | - | in | IOT5[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +E16/0 | - | in | IOT6[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +F15/0 | - | in | IOT6[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +F13/0 | - | in | IOT8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G12/0 | - | in | IOT8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +F14/0 | - | in | IOT9[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +F16/0 | - | in | IOT9[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +F12/0 | - | in | IOT12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G13/0 | - | in | IOT12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G15/0 | - | in | IOT13[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G14/0 | - | in | IOT13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G11/0 | - | in | IOT14[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H12/0 | - | in | IOT14[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +G16/0 | - | in | IOT16[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H15/0 | - | in | IOT16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H13/0 | - | in | IOT18[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +J12/0 | - | in | IOT18[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H14/0 | - | in | IOT20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H16/0 | - | in | IOT20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +J16/0 | - | in | IOT22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +J14/0 | - | in | IOT22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +J15/0 | - | in | IOT24[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +K16/0 | - | in | IOT24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +H11/0 | clock | in | IOT27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +J13/0 | - | in | IOT27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.2 +K14/1 | - | in | IOT30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +K15/1 | - | in | IOT30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +J11/1 | - | in | IOT32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +L12/1 | - | in | IOT32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +L16/1 | - | in | IOT34[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +L14/1 | LED | out | IOT34[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | FAST | NA | NA | NA | 1.8 +K13/1 | - | in | IOT36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +K12/1 | - | in | IOT36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +K11/1 | - | in | IOT38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +L13/1 | - | in | IOT38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +M14/1 | - | in | IOT40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +M15/1 | - | in | IOT40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +D14/1 | - | in | IOT44[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +E15/1 | - | in | IOT44[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +N15/1 | - | in | IOT48[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +P16/1 | - | in | IOT48[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +N16/1 | - | in | IOT52[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +N14/1 | - | in | IOT52[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +P15/1 | - | in | IOT54[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +R16/1 | - | in | IOT54[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +A4/5 | - | in | IOB2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C5/5 | - | in | IOB2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D6/5 | - | in | IOB3[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E7/5 | - | in | IOB3[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A3/5 | - | in | IOB4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B4/5 | - | in | IOB4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A5/5 | - | in | IOB7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B6/5 | - | in | IOB7[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B1/5 | - | in | IOB8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C2/5 | - | in | IOB8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D3/5 | - | in | IOB9[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D1/5 | - | in | IOB9[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E2/5 | - | in | IOB12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E3/5 | - | in | IOB12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B3/5 | - | in | IOB13[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A2/5 | - | in | IOB13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C1/5 | - | in | IOB14[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D2/5 | - | in | IOB14[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E1/5 | - | in | IOB16[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F2/5 | - | in | IOB16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F4/5 | - | in | IOB18[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G6/5 | - | in | IOB18[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F3/5 | - | in | IOB19[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F1/5 | - | in | IOB19[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G5/5 | - | in | IOB20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G4/5 | - | in | IOB20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G2/5 | - | in | IOB21[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G3/5 | - | in | IOB21[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F5/5 | - | in | IOB22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H6/5 | - | in | IOB22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +G1/5 | - | in | IOB24[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H2/5 | - | in | IOB24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H4/5 | - | in | IOB26[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J6/5 | - | in | IOB26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J1/5 | - | in | IOB27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J3/5 | - | in | IOB27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L2/4 | - | in | IOB30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M1/4 | - | in | IOB30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H3/4 | - | in | IOB32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H1/4 | - | in | IOB32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J2/4 | - | in | IOB34[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K1/4 | - | in | IOB34[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +H5/4 | - | in | IOB35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J4/4 | - | in | IOB35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K3/4 | - | in | IOB36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K2/4 | - | in | IOB36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +J5/4 | - | in | IOB37[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K6/4 | - | in | IOB37[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L1/4 | - | in | IOB38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L3/4 | - | in | IOB38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K4/4 | - | in | IOB39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L5/4 | - | in | IOB39[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +K5/4 | - | in | IOB40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L4/4 | - | in | IOB40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N2/4 | - | in | IOB41[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P1/4 | - | in | IOB41[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M3/4 | - | in | IOB42[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N1/4 | - | in | IOB42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M2/4 | - | in | IOB43[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N3/4 | - | in | IOB43[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R1/4 | - | in | IOB44[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P2/4 | - | in | IOB44[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P4/4 | - | in | IOB45[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T4/4 | - | in | IOB45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R3/4 | - | in | IOB48[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T2/4 | - | in | IOB48[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P5/4 | - | in | IOB50[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R5/4 | - | in | IOB50[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R4/4 | - | in | IOB52[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T3/4 | - | in | IOB52[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R6/4 | - | in | IOB54[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T5/4 | - | in | IOB54[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +B14/7 | - | in | IOL2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A15/7 | - | in | IOL2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C12/7 | - | in | IOL7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B12/7 | - | in | IOL7[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B13/7 | - | in | IOL8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A14/7 | - | in | IOL8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F10/7 | - | in | IOL11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B11/7 | - | in | IOL13[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A12/7 | - | in | IOL13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A11/7 | - | in | IOL15[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C11/7 | - | in | IOL15[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D10/7 | - | in | IOL17[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E10/7 | - | in | IOL17[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D11/7 | - | in | IOL22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A9/7 | - | in | IOL27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C9/7 | - | in | IOL27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C8/6 | - | in | IOL29[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A8/6 | - | in | IOL29[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F9/6 | - | in | IOL31[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E11/6 | - | in | IOL31[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B9/6 | - | in | IOL33[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A10/6 | - | in | IOL33[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F8/6 | - | in | IOL35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D9/6 | - | in | IOL35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D8/6 | - | in | IOL38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E9/6 | - | in | IOL38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B7/6 | - | in | IOL40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C7/6 | - | in | IOL40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +F7/6 | - | in | IOL45[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E8/6 | - | in | IOL45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C4/6 | - | in | IOL47[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B5/6 | - | in | IOL47[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +E6/6 | - | in | IOL53[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +D7/6 | - | in | IOL53[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +T15/2 | - | in | IOR7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +R14/2 | - | in | IOR7[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +P12/2 | - | in | IOR8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +T13/2 | - | in | IOR8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +R12/2 | - | in | IOR11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +P13/2 | - | in | IOR11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +R11/2 | - | in | IOR17[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +T12/2 | - | in | IOR17[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +R13/2 | - | in | IOR20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +T14/2 | - | in | IOR20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +M10/2 | - | in | IOR22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +N11/2 | - | in | IOR22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +T11/2 | - | in | IOR24[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +P11/2 | - | in | IOR24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +C6/2 | - | out | IOR25[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | FAST | NA | NA | NA | 1.8 +B8/2 | - | in | IOR25[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +A7/2 | - | in | IOR26[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +A6/2 | - | in | IOR26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +N10/2 | - | in | IOR27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | 1.8 +M11/2 | tx | out | IOR27[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | FAST | NA | OFF | NA | 1.8 +T7/3 | - | in | IOR29[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R8/3 | - | in | IOR29[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M16/3 | - | in | IOR30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B16/3 | - | in | IOR30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C15/3 | - | in | IOR31[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +B10/3 | - | in | IOR31[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +A13/3 | - | in | IOR32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C13/3 | - | in | IOR32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P10/3 | - | in | IOR33[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R10/3 | - | in | IOR33[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M9/3 | - | in | IOR34[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L10/3 | - | in | IOR34[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R9/3 | - | in | IOR35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T10/3 | - | in | IOR35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M8/3 | - | in | IOR36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N9/3 | - | in | IOR36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T9/3 | - | in | IOR38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P9/3 | - | in | IOR38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +C10/3 | - | in | IOR39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N8/3 | - | in | IOR40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L9/3 | - | in | IOR40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P8/3 | - | in | IOR42[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T8/3 | - | in | IOR42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M6/3 | - | in | IOR44[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +L8/3 | - | in | IOR44[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +M7/3 | - | in | IOR47[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N7/3 | - | in | IOR47[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +R7/3 | - | in | IOR49[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P7/3 | - | in | IOR49[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +N6/3 | - | in | IOR51[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +P6/3 | - | in | IOR53[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +T6/3 | - | in | IOR53[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | NA | - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ +==================================================================================================================================================================================== + + diff --git a/impl/pnr/cpu.timing_paths b/impl/pnr/cpu.timing_paths new file mode 100644 index 0000000..63c9212 --- /dev/null +++ b/impl/pnr/cpu.timing_paths @@ -0,0 +1,1088 @@ +===== +SETUP +1.104 +9.787 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_5_s0 +0.926 +1.158 +mem0/mem_RAMOUT_1023_G[0]_s27 +2.194 +2.749 +mem0/mem_RAMOUT_511_G[9]_s8863 +3.150 +3.667 +mem0/mem_RAMOUT_511_G[9]_s8870 +4.116 +4.569 +mem0/mem_RAMOUT_511_G[9]_s8783 +5.527 +6.082 +mem0/mem_RAMOUT_511_G[9]_s8720 +6.329 +6.846 +mem0/mem_RAMOUT_0_G[0]_s586 +8.064 +8.435 +mem0/mem_RAMOUT_0_G[0]_s573 +8.435 +8.538 +mem0/mem_RAMOUT_0_G[0]_s567 +8.538 +8.641 +mem0/mem_RAMOUT_0_G[0]_s564 +8.641 +8.744 +mem0/mem_RAMOUT_0_G[0]_s4 +8.744 +8.847 +core0/reg_inst_8_s0 +9.787 +===== +SETUP +1.658 +9.232 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_5_s0 +0.926 +1.158 +mem0/mem_RAMOUT_1023_G[0]_s27 +2.194 +2.749 +mem0/mem_RAMOUT_1023_G[0]_s22 +3.437 +3.992 +mem0/mem_RAMOUT_0_G[0]_s218 +4.972 +5.527 +mem0/mem_RAMOUT_0_G[0]_s97 +5.774 +6.329 +mem0/mem_RAMOUT_0_G[0]_s37 +6.941 +7.394 +mem0/mem_RAMOUT_0_G[0]_s14 +7.790 +8.243 +mem0/mem_RAMOUT_0_G[0]_s6 +8.662 +9.232 +core0/reg_inst_0_s0 +9.232 +===== +SETUP +1.658 +9.232 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_5_s0 +0.926 +1.158 +mem0/mem_RAMOUT_1023_G[0]_s27 +2.194 +2.749 +mem0/mem_RAMOUT_1023_G[0]_s22 +3.437 +3.992 +mem0/mem_RAMOUT_0_G[0]_s218 +4.972 +5.527 +mem0/mem_RAMOUT_0_G[0]_s97 +5.774 +6.329 +mem0/mem_RAMOUT_0_G[0]_s37 +6.941 +7.394 +mem0/mem_RAMOUT_0_G[0]_s14 +7.790 +8.243 +mem0/mem_RAMOUT_6138_G[0]_s5 +8.662 +9.232 +core0/reg_inst_6_s0 +9.232 +===== +SETUP +1.696 +9.194 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_5_s0 +0.926 +1.158 +mem0/mem_RAMOUT_1023_G[0]_s27 +2.194 +2.749 +mem0/mem_RAMOUT_1023_G[0]_s22 +3.437 +3.992 +mem0/mem_RAMOUT_0_G[0]_s244 +5.000 +5.555 +mem0/mem_RAMOUT_0_G[0]_s110 +6.211 +6.781 +mem0/mem_RAMOUT_0_G[0]_s44 +6.953 +7.502 +mem0/mem_RAMOUT_0_G[0]_s18 +7.504 +7.957 +mem0/mem_RAMOUT_0_G[0]_s7 +8.645 +9.194 +core0/reg_inst_16_s0 +9.194 +===== +SETUP +1.783 +9.107 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_5_s0 +0.926 +1.158 +mem0/mem_RAMOUT_1023_G[0]_s27 +2.194 +2.749 +mem0/mem_RAMOUT_1023_G[0]_s22 +3.437 +3.992 +mem0/mem_RAMOUT_0_G[0]_s244 +5.000 +5.555 +mem0/mem_RAMOUT_0_G[0]_s110 +6.211 +6.781 +mem0/mem_RAMOUT_0_G[0]_s44 +6.953 +7.502 +mem0/mem_RAMOUT_0_G[0]_s18 +7.504 +7.957 +mem0/mem_RAMOUT_6138_G[0]_s6 +8.645 +9.107 +core0/reg_inst_22_s0 +9.107 +===== +SETUP +2.456 +8.435 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_6_s1 +0.926 +1.158 +mem0/mem_RAMOUT_511_G[9]_s8932 +4.497 +4.748 +mem0/mem_RAMOUT_511_G[9]_s8909 +4.748 +4.851 +mem0/mem_RAMOUT_511_G[9]_s8897 +4.851 +4.954 +mem0/mem_RAMOUT_511_G[9]_s8660 +4.954 +5.057 +mem0/mem_RAMOUT_0_G[0]_s61 +5.459 +6.014 +mem0/mem_RAMOUT_0_G[0]_s24 +6.427 +6.798 +mem0/mem_RAMOUT_0_G[0]_s11 +6.803 +7.256 +mem0/mem_RAMOUT_0_G[0]_s5 +7.973 +8.435 +core0/reg_tx_data_0_s0 +8.435 +===== +SETUP +2.547 +8.344 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_6_s1 +0.926 +1.158 +mem0/mem_RAMOUT_511_G[9]_s8932 +4.497 +4.748 +mem0/mem_RAMOUT_511_G[9]_s8909 +4.748 +4.851 +mem0/mem_RAMOUT_511_G[9]_s8897 +4.851 +4.954 +mem0/mem_RAMOUT_511_G[9]_s8660 +4.954 +5.057 +mem0/mem_RAMOUT_0_G[0]_s61 +5.459 +6.014 +mem0/mem_RAMOUT_0_G[0]_s24 +6.427 +6.798 +mem0/mem_RAMOUT_0_G[0]_s11 +6.803 +7.256 +mem0/mem_RAMOUT_6138_G[0]_s4 +7.973 +8.344 +core0/reg_tx_data_6_s0 +8.344 +===== +SETUP +3.031 +7.860 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_6_s0 +0.926 +1.158 +mem0/mem_RAMOUT_0_G[0]_s247 +2.270 +2.787 +mem0/mem_RAMOUT_0_G[0]_s268 +3.589 +4.051 +mem0/mem_RAMOUT_0_G[0]_s126 +4.224 +4.595 +mem0/mem_RAMOUT_0_G[0]_s53 +5.255 +5.626 +mem0/mem_RAMOUT_0_G[0]_s22 +6.039 +6.556 +mem0/mem_RAMOUT_0_G[0]_s8 +7.398 +7.860 +core0/reg_inst_24_s0 +7.860 +===== +SETUP +3.031 +7.860 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_iaddr_6_s0 +0.926 +1.158 +mem0/mem_RAMOUT_0_G[0]_s247 +2.270 +2.787 +mem0/mem_RAMOUT_0_G[0]_s268 +3.589 +4.051 +mem0/mem_RAMOUT_0_G[0]_s126 +4.224 +4.595 +mem0/mem_RAMOUT_0_G[0]_s53 +5.255 +5.626 +mem0/mem_RAMOUT_0_G[0]_s22 +6.039 +6.556 +mem0/mem_RAMOUT_6138_G[0]_s7 +7.398 +7.860 +core0/reg_inst_30_s0 +7.860 +===== +SETUP +3.215 +7.676 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92609 +4.268 +4.785 +mem0/mem_s92325 +5.956 +6.409 +mem0/mem_s92710 +7.070 +7.532 +mem0/mem_mem_RAMREG_40_G[0]_s0 +7.676 +===== +SETUP +4.128 +6.763 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_6_s1 +0.926 +1.158 +mem0/mem_s92659 +2.818 +3.335 +mem0/mem_RAMOUT_0_G[0]_s10 +4.216 +4.587 +mem0/mem_RAMOUT_5115_G[0]_s8 +5.451 +6.021 +mem0/mem_RAMOUT_5115_G[0]_s4 +6.193 +6.763 +core0/reg_tx_data_5_s0 +6.763 +===== +SETUP +4.178 +6.713 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92622 +4.268 +4.785 +mem0/mem_s92385 +6.020 +6.569 +mem0/mem_mem_RAMREG_524_G[0]_s0 +6.713 +===== +SETUP +4.327 +6.564 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92609 +4.268 +4.785 +mem0/mem_s92325 +5.956 +6.418 +mem0/mem_mem_RAMREG_41_G[0]_s0 +6.564 +===== +SETUP +4.390 +6.501 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_11_s1 +0.926 +1.158 +mem0/mem_s92598 +2.095 +2.548 +mem0/mem_s92601 +4.004 +4.375 +mem0/mem_s92656 +5.081 +5.543 +mem0/mem_mem_RAMREG_584_G[0]_s0 +6.501 +===== +SETUP +4.427 +6.464 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_1_s1 +0.926 +1.158 +mem0/mem_RAMOUT_0_G[0]_s9 +3.058 +3.575 +mem0/mem_s92633 +4.599 +5.116 +mem0/mem_s92470 +5.894 +6.464 +mem0/mem_mem_RAMREG_591_G[0]_s0 +6.464 +===== +SETUP +4.479 +6.412 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92609 +4.268 +4.785 +mem0/mem_s92564 +5.863 +6.412 +mem0/mem_mem_RAMREG_43_G[0]_s0 +6.412 +===== +SETUP +4.500 +6.391 +10.891 +clock_ibuf +0.000 +0.683 +uart0/clock_count_28_s0 +0.926 +1.158 +uart0/n12_s5 +1.319 +1.889 +uart0/n12_s3 +2.061 +2.616 +uart0/n12_s2 +3.272 +3.725 +uart0/n191_s12 +4.147 +4.600 +uart0/n298_s1 +5.308 +5.679 +uart0/send_count_3_s4 +5.692 +6.241 +uart0/send_count_0_s2 +6.391 +===== +SETUP +4.500 +6.391 +10.891 +clock_ibuf +0.000 +0.683 +uart0/clock_count_28_s0 +0.926 +1.158 +uart0/n12_s5 +1.319 +1.889 +uart0/n12_s3 +2.061 +2.616 +uart0/n12_s2 +3.272 +3.725 +uart0/n191_s12 +4.147 +4.600 +uart0/n298_s1 +5.308 +5.679 +uart0/send_count_3_s4 +5.692 +6.241 +uart0/send_count_1_s2 +6.391 +===== +SETUP +4.500 +6.391 +10.891 +clock_ibuf +0.000 +0.683 +uart0/clock_count_28_s0 +0.926 +1.158 +uart0/n12_s5 +1.319 +1.889 +uart0/n12_s3 +2.061 +2.616 +uart0/n12_s2 +3.272 +3.725 +uart0/n191_s12 +4.147 +4.600 +uart0/n298_s1 +5.308 +5.679 +uart0/send_count_3_s4 +5.692 +6.241 +uart0/send_count_2_s2 +6.391 +===== +SETUP +4.500 +6.391 +10.891 +clock_ibuf +0.000 +0.683 +uart0/clock_count_28_s0 +0.926 +1.158 +uart0/n12_s5 +1.319 +1.889 +uart0/n12_s3 +2.061 +2.616 +uart0/n12_s2 +3.272 +3.725 +uart0/n191_s12 +4.147 +4.600 +uart0/n298_s1 +5.308 +5.679 +uart0/send_count_3_s4 +5.692 +6.241 +uart0/send_count_3_s2 +6.391 +===== +SETUP +4.551 +6.340 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_1_s1 +0.926 +1.158 +mem0/mem_RAMOUT_0_G[0]_s9 +3.058 +3.575 +mem0/mem_s92633 +4.599 +5.148 +mem0/mem_s92421 +5.154 +5.616 +mem0/mem_mem_RAMREG_586_G[0]_s0 +6.340 +===== +SETUP +4.583 +6.308 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_11_s1 +0.926 +1.158 +mem0/mem_s92598 +2.095 +2.548 +mem0/mem_s92684 +4.011 +4.566 +mem0/mem_s92396 +5.014 +5.584 +mem0/mem_mem_RAMREG_548_G[0]_s0 +6.308 +===== +SETUP +4.591 +6.300 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92609 +4.268 +4.785 +mem0/mem_s92566 +5.730 +6.300 +mem0/mem_mem_RAMREG_41_G[0]_s0 +6.300 +===== +SETUP +4.622 +6.268 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_2_s1 +0.926 +1.158 +mem0/mem_s92619 +2.487 +3.004 +mem0/mem_s92609 +4.268 +4.785 +mem0/mem_s92562 +5.806 +6.268 +mem0/mem_mem_RAMREG_45_G[0]_s0 +6.268 +===== +SETUP +4.634 +6.257 +10.891 +clock_ibuf +0.000 +0.683 +core0/reg_raddr_11_s1 +0.926 +1.158 +mem0/mem_s92598 +2.095 +2.548 +mem0/mem_s92684 +4.011 +4.566 +mem0/mem_s92320 +5.036 +5.498 +mem0/mem_mem_RAMREG_36_G[0]_s0 +6.257 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/led_flag_s1 +0.860 +1.062 +uart0/n79_s2 +1.064 +1.296 +uart0/led_flag_s1 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_2_s0 +0.860 +1.062 +uart0/n44_s +1.064 +1.296 +uart0/clock_count_2_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_6_s0 +0.860 +1.062 +uart0/n40_s +1.064 +1.296 +uart0/clock_count_6_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_8_s0 +0.860 +1.062 +uart0/n38_s +1.064 +1.296 +uart0/clock_count_8_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_12_s0 +0.860 +1.062 +uart0/n34_s +1.064 +1.296 +uart0/clock_count_12_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_14_s0 +0.860 +1.062 +uart0/n32_s +1.064 +1.296 +uart0/clock_count_14_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_18_s0 +0.860 +1.062 +uart0/n28_s +1.064 +1.296 +uart0/clock_count_18_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_20_s0 +0.860 +1.062 +uart0/n26_s +1.064 +1.296 +uart0/clock_count_20_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_24_s0 +0.860 +1.062 +uart0/n22_s +1.064 +1.296 +uart0/clock_count_24_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_26_s0 +0.860 +1.062 +uart0/n20_s +1.064 +1.296 +uart0/clock_count_26_s0 +1.296 +===== +HOLD +0.425 +1.296 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_30_s0 +0.860 +1.062 +uart0/n16_s +1.064 +1.296 +uart0/clock_count_30_s0 +1.296 +===== +HOLD +0.427 +1.297 +0.871 +clock_ibuf +0.000 +0.675 +uart0/clock_count_0_s0 +0.860 +1.062 +uart0/n46_s2 +1.065 +1.297 +uart0/clock_count_0_s0 +1.297 +===== +HOLD +0.428 +1.299 +0.871 +clock_ibuf +0.000 +0.675 +uart0/send_count_0_s2 +0.860 +1.062 +uart0/n210_s8 +1.067 +1.299 +uart0/send_count_0_s2 +1.299 +===== +HOLD +0.428 +1.299 +0.871 +clock_ibuf +0.000 +0.675 +uart0/send_count_1_s2 +0.860 +1.062 +uart0/n208_s8 +1.067 +1.299 +uart0/send_count_1_s2 +1.299 +===== +HOLD +0.428 +1.299 +0.871 +clock_ibuf +0.000 +0.675 +uart0/state_0_s1 +0.860 +1.062 +uart0/n194_s10 +1.067 +1.299 +uart0/state_0_s1 +1.299 +===== +HOLD +0.488 +1.359 +0.871 +clock_ibuf +0.000 +0.675 +core0/stage_0_s2 +0.860 +1.062 +core0/n396_s2 +1.069 +1.359 +core0/stage_0_s2 +1.359 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/opcode_0_s0 +0.860 +1.061 +core0/n670_s5 +1.178 +1.410 +core0/reg_wen_s1 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/rs2_3_s0 +0.860 +1.061 +core0/rs2_data_0_s17 +1.178 +1.410 +core0/reg_wdata_6_s0 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/s_imm_5_s0 +0.860 +1.061 +core0/alu_out_5_s0 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/s_imm_10_s0 +0.860 +1.061 +core0/alu_out_10_s0 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/pc_1_s0 +0.860 +1.061 +core0/reg_iaddr_1_s0 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +uart0/local_in_1_s0 +0.860 +1.061 +uart0/data_1_s0 +1.410 +===== +HOLD +0.539 +1.410 +0.871 +clock_ibuf +0.000 +0.675 +core0/reg_tx_data_5_s0 +0.860 +1.061 +uart0/local_in_5_s0 +1.410 +===== +HOLD +0.542 +1.413 +0.871 +clock_ibuf +0.000 +0.675 +core0/pc_3_s0 +0.860 +1.061 +core0/reg_iaddr_3_s0 +1.413 +===== +HOLD +0.542 +1.413 +0.871 +clock_ibuf +0.000 +0.675 +core0/pc_6_s0 +0.860 +1.061 +core0/reg_iaddr_6_s0 +1.413 diff --git a/impl/pnr/cpu.tr.html b/impl/pnr/cpu.tr.html new file mode 100644 index 0000000..c3d7174 --- /dev/null +++ b/impl/pnr/cpu.tr.html @@ -0,0 +1,10 @@ + + + +Timing Analysis Report + + + + + + diff --git a/impl/pnr/cpu_tr_cata.html b/impl/pnr/cpu_tr_cata.html new file mode 100644 index 0000000..712e9ff --- /dev/null +++ b/impl/pnr/cpu_tr_cata.html @@ -0,0 +1,131 @@ + + + +Timing Report Navigation + + + + + + + diff --git a/impl/pnr/cpu_tr_content.html b/impl/pnr/cpu_tr_content.html new file mode 100644 index 0000000..3834a2c --- /dev/null +++ b/impl/pnr/cpu_tr_content.html @@ -0,0 +1,14811 @@ + + + +Timing Analysis Report + + + +
+

Timing Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleTiming Analysis Report
Design FileC:\Users\kuroc\Downloads\cpu\impl\gwsynthesis\cpu.vg
Physical Constraints FileC:\Users\kuroc\Downloads\cpu\src\cpu.cst
Timing Constraint File---
VersionV1.9.8.09 Education
Part NumberGW2A-LV18PG256C8/I7
DeviceGW2A-18C
Created TimeThu May 18 11:35:38 2023 +
Legal AnnouncementCopyright (C)2014-2022 Gowin Semiconductor Corporation. All rights reserved.
+

Timing Summaries

+

STA Tool Run Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup Delay ModelSlow 0.95V 85C C8/I7
Hold Delay ModelFast 1.05V 0C C8/I7
Numbers of Paths Analyzed589
Numbers of Endpoints Analyzed596
Numbers of Falling Endpoints0
Numbers of Setup Violated Endpoints0
Numbers of Hold Violated Endpoints0
+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + +
Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObjects
clockBase10.000100.000 +0.0005.000clock_ibuf/I
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + +
NO.Clock NameConstraintActual FmaxLogic LevelEntity
1clock100.000(MHz)112.413(MHz)8TOP
+

Total Negative Slack Summary:

+ + + + + + + + + + + + + + + + + + + +
Clock NameAnalysis TypeEndpoints TNSNumber of Endpoints
clockSetup0.0000
clockHold0.0000
+

Timing Details

+

Path Slacks Table:

+

Setup Paths Table

+

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
11.104core0/reg_iaddr_5_s0/Qcore0/reg_inst_8_s0/Dclock:[R]clock:[R]10.0000.0008.861
21.658core0/reg_iaddr_5_s0/Qcore0/reg_inst_0_s0/Dclock:[R]clock:[R]10.0000.0008.307
31.658core0/reg_iaddr_5_s0/Qcore0/reg_inst_6_s0/Dclock:[R]clock:[R]10.0000.0008.307
41.696core0/reg_iaddr_5_s0/Qcore0/reg_inst_16_s0/Dclock:[R]clock:[R]10.0000.0008.269
51.783core0/reg_iaddr_5_s0/Qcore0/reg_inst_22_s0/Dclock:[R]clock:[R]10.0000.0008.182
62.456core0/reg_raddr_6_s1/Qcore0/reg_tx_data_0_s0/Dclock:[R]clock:[R]10.0000.0007.509
72.547core0/reg_raddr_6_s1/Qcore0/reg_tx_data_6_s0/Dclock:[R]clock:[R]10.0000.0007.418
83.031core0/reg_iaddr_6_s0/Qcore0/reg_inst_24_s0/Dclock:[R]clock:[R]10.0000.0006.934
93.031core0/reg_iaddr_6_s0/Qcore0/reg_inst_30_s0/Dclock:[R]clock:[R]10.0000.0006.934
103.215core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_40_G[0]_s0/CEclock:[R]clock:[R]10.0000.0006.750
114.128core0/reg_raddr_6_s1/Qcore0/reg_tx_data_5_s0/Dclock:[R]clock:[R]10.0000.0005.837
124.178core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_524_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.787
134.327core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_41_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.638
144.390core0/reg_raddr_11_s1/Qmem0/mem_mem_RAMREG_584_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.575
154.427core0/reg_raddr_1_s1/Qmem0/mem_mem_RAMREG_591_G[0]_s0/Dclock:[R]clock:[R]10.0000.0005.538
164.479core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_43_G[0]_s0/Dclock:[R]clock:[R]10.0000.0005.486
174.500uart0/clock_count_28_s0/Quart0/send_count_0_s2/CEclock:[R]clock:[R]10.0000.0005.465
184.500uart0/clock_count_28_s0/Quart0/send_count_1_s2/CEclock:[R]clock:[R]10.0000.0005.465
194.500uart0/clock_count_28_s0/Quart0/send_count_2_s2/CEclock:[R]clock:[R]10.0000.0005.465
204.500uart0/clock_count_28_s0/Quart0/send_count_3_s2/CEclock:[R]clock:[R]10.0000.0005.465
214.551core0/reg_raddr_1_s1/Qmem0/mem_mem_RAMREG_586_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.414
224.583core0/reg_raddr_11_s1/Qmem0/mem_mem_RAMREG_548_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.382
234.591core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_41_G[0]_s0/Dclock:[R]clock:[R]10.0000.0005.374
244.622core0/reg_raddr_2_s1/Qmem0/mem_mem_RAMREG_45_G[0]_s0/Dclock:[R]clock:[R]10.0000.0005.343
254.634core0/reg_raddr_11_s1/Qmem0/mem_mem_RAMREG_36_G[0]_s0/CEclock:[R]clock:[R]10.0000.0005.331
+

Hold Paths Table

+

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
10.425uart0/led_flag_s1/Quart0/led_flag_s1/Dclock:[R]clock:[R]0.0000.0000.436
20.425uart0/clock_count_2_s0/Quart0/clock_count_2_s0/Dclock:[R]clock:[R]0.0000.0000.436
30.425uart0/clock_count_6_s0/Quart0/clock_count_6_s0/Dclock:[R]clock:[R]0.0000.0000.436
40.425uart0/clock_count_8_s0/Quart0/clock_count_8_s0/Dclock:[R]clock:[R]0.0000.0000.436
50.425uart0/clock_count_12_s0/Quart0/clock_count_12_s0/Dclock:[R]clock:[R]0.0000.0000.436
60.425uart0/clock_count_14_s0/Quart0/clock_count_14_s0/Dclock:[R]clock:[R]0.0000.0000.436
70.425uart0/clock_count_18_s0/Quart0/clock_count_18_s0/Dclock:[R]clock:[R]0.0000.0000.436
80.425uart0/clock_count_20_s0/Quart0/clock_count_20_s0/Dclock:[R]clock:[R]0.0000.0000.436
90.425uart0/clock_count_24_s0/Quart0/clock_count_24_s0/Dclock:[R]clock:[R]0.0000.0000.436
100.425uart0/clock_count_26_s0/Quart0/clock_count_26_s0/Dclock:[R]clock:[R]0.0000.0000.436
110.425uart0/clock_count_30_s0/Quart0/clock_count_30_s0/Dclock:[R]clock:[R]0.0000.0000.436
120.427uart0/clock_count_0_s0/Quart0/clock_count_0_s0/Dclock:[R]clock:[R]0.0000.0000.438
130.428uart0/send_count_0_s2/Quart0/send_count_0_s2/Dclock:[R]clock:[R]0.0000.0000.439
140.428uart0/send_count_1_s2/Quart0/send_count_1_s2/Dclock:[R]clock:[R]0.0000.0000.439
150.428uart0/state_0_s1/Quart0/state_0_s1/Dclock:[R]clock:[R]0.0000.0000.439
160.488core0/stage_0_s2/Qcore0/stage_0_s2/Dclock:[R]clock:[R]0.0000.0000.499
170.539core0/opcode_0_s0/Qcore0/reg_wen_s1/Dclock:[R]clock:[R]0.0000.0000.550
180.539core0/rs2_3_s0/Qcore0/reg_wdata_6_s0/Dclock:[R]clock:[R]0.0000.0000.550
190.539core0/s_imm_5_s0/Qcore0/alu_out_5_s0/Dclock:[R]clock:[R]0.0000.0000.550
200.539core0/s_imm_10_s0/Qcore0/alu_out_10_s0/Dclock:[R]clock:[R]0.0000.0000.550
210.539core0/pc_1_s0/Qcore0/reg_iaddr_1_s0/Dclock:[R]clock:[R]0.0000.0000.550
220.539uart0/local_in_1_s0/Quart0/data_1_s0/Dclock:[R]clock:[R]0.0000.0000.550
230.539core0/reg_tx_data_5_s0/Quart0/local_in_5_s0/Dclock:[R]clock:[R]0.0000.0000.550
240.542core0/pc_3_s0/Qcore0/reg_iaddr_3_s0/Dclock:[R]clock:[R]0.0000.0000.553
250.542core0/pc_6_s0/Qcore0/reg_iaddr_6_s0/Dclock:[R]clock:[R]0.0000.0000.553
+

Recovery Paths Table

+

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

+

Nothing to report!

+

Removal Paths Table

+

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

+

Nothing to report!

+

Minimum Pulse Width Table:

+ + + + + + + + + + +

Report Command:report_min_pulse_width -nworst 10 -detail

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NumberSlackActual WidthRequired WidthTypeClockObjects
13.9114.9111.000Low Pulse Widthclockuart0/clock_count_30_s0
23.9114.9111.000Low Pulse Widthclockuart0/clock_count_28_s0
33.9114.9111.000Low Pulse Widthclockuart0/clock_count_24_s0
43.9114.9111.000Low Pulse Widthclockuart0/clock_count_16_s0
53.9114.9111.000Low Pulse Widthclockuart0/clock_count_0_s0
63.9114.9111.000Low Pulse Widthclockmem0/mem_mem_RAMREG_6_G[0]_s0
73.9114.9111.000Low Pulse Widthclockmem0/mem_mem_RAMREG_109_G[0]_s0
83.9114.9111.000Low Pulse Widthclockcore0/rs1_1_s0
93.9114.9111.000Low Pulse Widthclockcore0/rs1_2_s0
103.9114.9111.000Low Pulse Widthclockmem0/mem_mem_RAMREG_108_G[0]_s0
+

Timing Report By Analysis Type:

+

Setup Analysis Report

+

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack1.104
Data Arrival Time9.787
Data Required Time10.891
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_8_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C39[2][B]core0/reg_iaddr_5_s0/CLK
1.1580.232tC2QRF58R14C39[2][B]core0/reg_iaddr_5_s0/Q
2.1941.036tNETFF1R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/I1
2.7490.555tINSFF2R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/F
3.1500.401tNETFF1R13C33[1][A]mem0/mem_RAMOUT_511_G[9]_s8863/I1
3.6670.517tINSFF24R13C33[1][A]mem0/mem_RAMOUT_511_G[9]_s8863/F
4.1160.449tNETFF1R12C35[0][B]mem0/mem_RAMOUT_511_G[9]_s8870/I1
4.5690.453tINSFF10R12C35[0][B]mem0/mem_RAMOUT_511_G[9]_s8870/F
5.5270.958tNETFF1R14C41[3][B]mem0/mem_RAMOUT_511_G[9]_s8783/I3
6.0820.555tINSFF1R14C41[3][B]mem0/mem_RAMOUT_511_G[9]_s8783/F
6.3290.247tNETFF1R14C39[3][A]mem0/mem_RAMOUT_511_G[9]_s8720/I1
6.8460.517tINSFF1R14C39[3][A]mem0/mem_RAMOUT_511_G[9]_s8720/F
8.0641.218tNETFF1R14C36[3][B]mem0/mem_RAMOUT_0_G[0]_s586/I1
8.4350.371tINSFF1R14C36[3][B]mem0/mem_RAMOUT_0_G[0]_s586/F
8.4350.000tNETFF1R14C36[3][A]mem0/mem_RAMOUT_0_G[0]_s573/I1
8.5380.103tINSFF1R14C36[3][A]mem0/mem_RAMOUT_0_G[0]_s573/O
8.5380.000tNETFF1R14C36[2][B]mem0/mem_RAMOUT_0_G[0]_s567/I0
8.6410.103tINSFF1R14C36[2][B]mem0/mem_RAMOUT_0_G[0]_s567/O
8.6410.000tNETFF1R14C36[1][B]mem0/mem_RAMOUT_0_G[0]_s564/I0
8.7440.103tINSFF1R14C36[1][B]mem0/mem_RAMOUT_0_G[0]_s564/O
8.7440.000tNETFF1R14C36[3][B]mem0/mem_RAMOUT_0_G[0]_s4/I1
8.8470.103tINSFF1R14C36[3][B]mem0/mem_RAMOUT_0_G[0]_s4/O
9.7870.940tNETFF1R14C39[1][A]core0/reg_inst_8_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R14C39[1][A]core0/reg_inst_8_s0/CLK
10.891-0.035tSu1R14C39[1][A]core0/reg_inst_8_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level8
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 3.380, 38.146%; route: 5.249, 59.236%; tC2Q: 0.232, 2.618%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack1.658
Data Arrival Time9.232
Data Required Time10.891
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_0_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C39[2][B]core0/reg_iaddr_5_s0/CLK
1.1580.232tC2QRF58R14C39[2][B]core0/reg_iaddr_5_s0/Q
2.1941.036tNETFF1R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/I1
2.7490.555tINSFF2R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/F
3.4370.688tNETFF1R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/I1
3.9920.555tINSFF30R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/F
4.9720.979tNETFF1R16C41[1][B]mem0/mem_RAMOUT_0_G[0]_s218/I3
5.5270.555tINSFF1R16C41[1][B]mem0/mem_RAMOUT_0_G[0]_s218/F
5.7740.247tNETFF1R18C41[0][B]mem0/mem_RAMOUT_0_G[0]_s97/I1
6.3290.555tINSFF2R18C41[0][B]mem0/mem_RAMOUT_0_G[0]_s97/F
6.9410.612tNETFF1R11C41[0][B]mem0/mem_RAMOUT_0_G[0]_s37/I1
7.3940.453tINSFF1R11C41[0][B]mem0/mem_RAMOUT_0_G[0]_s37/F
7.7900.397tNETFF1R9C41[3][A]mem0/mem_RAMOUT_0_G[0]_s14/I3
8.2430.453tINSFF2R9C41[3][A]mem0/mem_RAMOUT_0_G[0]_s14/F
8.6620.419tNETFF1R9C38[1][A]mem0/mem_RAMOUT_0_G[0]_s6/I2
9.2320.570tINSFR1R9C38[1][A]mem0/mem_RAMOUT_0_G[0]_s6/F
9.2320.000tNETRR1R9C38[1][A]core0/reg_inst_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C38[1][A]core0/reg_inst_0_s0/CLK
10.891-0.035tSu1R9C38[1][A]core0/reg_inst_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level8
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 3.696, 44.495%; route: 4.379, 52.712%; tC2Q: 0.232, 2.793%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack1.658
Data Arrival Time9.232
Data Required Time10.891
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_6_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C39[2][B]core0/reg_iaddr_5_s0/CLK
1.1580.232tC2QRF58R14C39[2][B]core0/reg_iaddr_5_s0/Q
2.1941.036tNETFF1R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/I1
2.7490.555tINSFF2R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/F
3.4370.688tNETFF1R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/I1
3.9920.555tINSFF30R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/F
4.9720.979tNETFF1R16C41[1][B]mem0/mem_RAMOUT_0_G[0]_s218/I3
5.5270.555tINSFF1R16C41[1][B]mem0/mem_RAMOUT_0_G[0]_s218/F
5.7740.247tNETFF1R18C41[0][B]mem0/mem_RAMOUT_0_G[0]_s97/I1
6.3290.555tINSFF2R18C41[0][B]mem0/mem_RAMOUT_0_G[0]_s97/F
6.9410.612tNETFF1R11C41[0][B]mem0/mem_RAMOUT_0_G[0]_s37/I1
7.3940.453tINSFF1R11C41[0][B]mem0/mem_RAMOUT_0_G[0]_s37/F
7.7900.397tNETFF1R9C41[3][A]mem0/mem_RAMOUT_0_G[0]_s14/I3
8.2430.453tINSFF2R9C41[3][A]mem0/mem_RAMOUT_0_G[0]_s14/F
8.6620.419tNETFF1R9C38[0][B]mem0/mem_RAMOUT_6138_G[0]_s5/I2
9.2320.570tINSFR1R9C38[0][B]mem0/mem_RAMOUT_6138_G[0]_s5/F
9.2320.000tNETRR1R9C38[0][B]core0/reg_inst_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C38[0][B]core0/reg_inst_6_s0/CLK
10.891-0.035tSu1R9C38[0][B]core0/reg_inst_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level8
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 3.696, 44.495%; route: 4.379, 52.712%; tC2Q: 0.232, 2.793%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack1.696
Data Arrival Time9.194
Data Required Time10.891
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_16_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C39[2][B]core0/reg_iaddr_5_s0/CLK
1.1580.232tC2QRF58R14C39[2][B]core0/reg_iaddr_5_s0/Q
2.1941.036tNETFF1R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/I1
2.7490.555tINSFF2R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/F
3.4370.688tNETFF1R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/I1
3.9920.555tINSFF30R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/F
5.0001.008tNETFF1R16C39[0][A]mem0/mem_RAMOUT_0_G[0]_s244/I1
5.5550.555tINSFF1R16C39[0][A]mem0/mem_RAMOUT_0_G[0]_s244/F
6.2110.656tNETFF1R15C42[3][A]mem0/mem_RAMOUT_0_G[0]_s110/I3
6.7810.570tINSFR1R15C42[3][A]mem0/mem_RAMOUT_0_G[0]_s110/F
6.9530.172tNETRR1R16C42[2][A]mem0/mem_RAMOUT_0_G[0]_s44/I2
7.5020.549tINSRR1R16C42[2][A]mem0/mem_RAMOUT_0_G[0]_s44/F
7.5040.001tNETRR1R16C42[2][B]mem0/mem_RAMOUT_0_G[0]_s18/I1
7.9570.453tINSRF2R16C42[2][B]mem0/mem_RAMOUT_0_G[0]_s18/F
8.6450.689tNETFF1R11C41[2][B]mem0/mem_RAMOUT_0_G[0]_s7/I2
9.1940.549tINSFR1R11C41[2][B]mem0/mem_RAMOUT_0_G[0]_s7/F
9.1940.000tNETRR1R11C41[2][B]core0/reg_inst_16_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R11C41[2][B]core0/reg_inst_16_s0/CLK
10.891-0.035tSu1R11C41[2][B]core0/reg_inst_16_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level8
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 3.786, 45.788%; route: 4.251, 51.407%; tC2Q: 0.232, 2.806%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack1.783
Data Arrival Time9.107
Data Required Time10.891
Fromcore0/reg_iaddr_5_s0
Tocore0/reg_inst_22_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C39[2][B]core0/reg_iaddr_5_s0/CLK
1.1580.232tC2QRF58R14C39[2][B]core0/reg_iaddr_5_s0/Q
2.1941.036tNETFF1R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/I1
2.7490.555tINSFF2R11C33[3][A]mem0/mem_RAMOUT_1023_G[0]_s27/F
3.4370.688tNETFF1R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/I1
3.9920.555tINSFF30R11C44[3][B]mem0/mem_RAMOUT_1023_G[0]_s22/F
5.0001.008tNETFF1R16C39[0][A]mem0/mem_RAMOUT_0_G[0]_s244/I1
5.5550.555tINSFF1R16C39[0][A]mem0/mem_RAMOUT_0_G[0]_s244/F
6.2110.656tNETFF1R15C42[3][A]mem0/mem_RAMOUT_0_G[0]_s110/I3
6.7810.570tINSFR1R15C42[3][A]mem0/mem_RAMOUT_0_G[0]_s110/F
6.9530.172tNETRR1R16C42[2][A]mem0/mem_RAMOUT_0_G[0]_s44/I2
7.5020.549tINSRR1R16C42[2][A]mem0/mem_RAMOUT_0_G[0]_s44/F
7.5040.001tNETRR1R16C42[2][B]mem0/mem_RAMOUT_0_G[0]_s18/I1
7.9570.453tINSRF2R16C42[2][B]mem0/mem_RAMOUT_0_G[0]_s18/F
8.6450.689tNETFF1R11C41[0][A]mem0/mem_RAMOUT_6138_G[0]_s6/I2
9.1070.462tINSFR1R11C41[0][A]mem0/mem_RAMOUT_6138_G[0]_s6/F
9.1070.000tNETRR1R11C41[0][A]core0/reg_inst_22_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R11C41[0][A]core0/reg_inst_22_s0/CLK
10.891-0.035tSu1R11C41[0][A]core0/reg_inst_22_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level8
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 3.699, 45.211%; route: 4.251, 51.953%; tC2Q: 0.232, 2.836%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack2.456
Data Arrival Time8.435
Data Required Time10.891
Fromcore0/reg_raddr_6_s1
Tocore0/reg_tx_data_0_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C41[0][A]core0/reg_raddr_6_s1/CLK
1.1580.232tC2QRF72R13C41[0][A]core0/reg_raddr_6_s1/Q
4.4973.339tNETFF1R14C46[1][A]mem0/mem_RAMOUT_511_G[9]_s8932/S0
4.7480.251tINSFF1R14C46[1][A]mem0/mem_RAMOUT_511_G[9]_s8932/O
4.7480.000tNETFF1R14C46[0][B]mem0/mem_RAMOUT_511_G[9]_s8909/I0
4.8510.103tINSFF1R14C46[0][B]mem0/mem_RAMOUT_511_G[9]_s8909/O
4.8510.000tNETFF1R14C46[1][B]mem0/mem_RAMOUT_511_G[9]_s8897/I1
4.9540.103tINSFF1R14C46[1][B]mem0/mem_RAMOUT_511_G[9]_s8897/O
4.9540.000tNETFF1R14C46[3][B]mem0/mem_RAMOUT_511_G[9]_s8660/I1
5.0570.103tINSFF1R14C46[3][B]mem0/mem_RAMOUT_511_G[9]_s8660/O
5.4590.403tNETFF1R14C45[3][B]mem0/mem_RAMOUT_0_G[0]_s61/I1
6.0140.555tINSFF1R14C45[3][B]mem0/mem_RAMOUT_0_G[0]_s61/F
6.4270.413tNETFF1R17C45[3][A]mem0/mem_RAMOUT_0_G[0]_s24/I3
6.7980.371tINSFF1R17C45[3][A]mem0/mem_RAMOUT_0_G[0]_s24/F
6.8030.004tNETFF1R17C45[1][B]mem0/mem_RAMOUT_0_G[0]_s11/I0
7.2560.453tINSFF2R17C45[1][B]mem0/mem_RAMOUT_0_G[0]_s11/F
7.9730.717tNETFF1R9C37[0][B]mem0/mem_RAMOUT_0_G[0]_s5/I3
8.4350.462tINSFR1R9C37[0][B]mem0/mem_RAMOUT_0_G[0]_s5/F
8.4350.000tNETRR1R9C37[0][B]core0/reg_tx_data_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C37[0][B]core0/reg_tx_data_0_s0/CLK
10.891-0.035tSu1R9C37[0][B]core0/reg_tx_data_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.401, 31.974%; route: 4.876, 64.936%; tC2Q: 0.232, 3.090%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack2.547
Data Arrival Time8.344
Data Required Time10.891
Fromcore0/reg_raddr_6_s1
Tocore0/reg_tx_data_6_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C41[0][A]core0/reg_raddr_6_s1/CLK
1.1580.232tC2QRF72R13C41[0][A]core0/reg_raddr_6_s1/Q
4.4973.339tNETFF1R14C46[1][A]mem0/mem_RAMOUT_511_G[9]_s8932/S0
4.7480.251tINSFF1R14C46[1][A]mem0/mem_RAMOUT_511_G[9]_s8932/O
4.7480.000tNETFF1R14C46[0][B]mem0/mem_RAMOUT_511_G[9]_s8909/I0
4.8510.103tINSFF1R14C46[0][B]mem0/mem_RAMOUT_511_G[9]_s8909/O
4.8510.000tNETFF1R14C46[1][B]mem0/mem_RAMOUT_511_G[9]_s8897/I1
4.9540.103tINSFF1R14C46[1][B]mem0/mem_RAMOUT_511_G[9]_s8897/O
4.9540.000tNETFF1R14C46[3][B]mem0/mem_RAMOUT_511_G[9]_s8660/I1
5.0570.103tINSFF1R14C46[3][B]mem0/mem_RAMOUT_511_G[9]_s8660/O
5.4590.403tNETFF1R14C45[3][B]mem0/mem_RAMOUT_0_G[0]_s61/I1
6.0140.555tINSFF1R14C45[3][B]mem0/mem_RAMOUT_0_G[0]_s61/F
6.4270.413tNETFF1R17C45[3][A]mem0/mem_RAMOUT_0_G[0]_s24/I3
6.7980.371tINSFF1R17C45[3][A]mem0/mem_RAMOUT_0_G[0]_s24/F
6.8030.004tNETFF1R17C45[1][B]mem0/mem_RAMOUT_0_G[0]_s11/I0
7.2560.453tINSFF2R17C45[1][B]mem0/mem_RAMOUT_0_G[0]_s11/F
7.9730.717tNETFF1R9C37[1][A]mem0/mem_RAMOUT_6138_G[0]_s4/I3
8.3440.371tINSFF1R9C37[1][A]mem0/mem_RAMOUT_6138_G[0]_s4/F
8.3440.000tNETFF1R9C37[1][A]core0/reg_tx_data_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C37[1][A]core0/reg_tx_data_6_s0/CLK
10.891-0.035tSu1R9C37[1][A]core0/reg_tx_data_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.310, 31.140%; route: 4.876, 65.733%; tC2Q: 0.232, 3.127%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.031
Data Arrival Time7.860
Data Required Time10.891
Fromcore0/reg_iaddr_6_s0
Tocore0/reg_inst_24_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C39[2][A]core0/reg_iaddr_6_s0/CLK
1.1580.232tC2QRF69R13C39[2][A]core0/reg_iaddr_6_s0/Q
2.2701.112tNETFF1R13C42[3][A]mem0/mem_RAMOUT_0_G[0]_s247/I2
2.7870.517tINSFF19R13C42[3][A]mem0/mem_RAMOUT_0_G[0]_s247/F
3.5890.802tNETFF1R15C36[0][A]mem0/mem_RAMOUT_0_G[0]_s268/I3
4.0510.462tINSFR1R15C36[0][A]mem0/mem_RAMOUT_0_G[0]_s268/F
4.2240.172tNETRR1R15C37[3][A]mem0/mem_RAMOUT_0_G[0]_s126/I2
4.5950.371tINSRF2R15C37[3][A]mem0/mem_RAMOUT_0_G[0]_s126/F
5.2550.660tNETFF1R18C39[0][B]mem0/mem_RAMOUT_0_G[0]_s53/I0
5.6260.371tINSFF1R18C39[0][B]mem0/mem_RAMOUT_0_G[0]_s53/F
6.0390.413tNETFF1R18C38[3][B]mem0/mem_RAMOUT_0_G[0]_s22/I0
6.5560.517tINSFF2R18C38[3][B]mem0/mem_RAMOUT_0_G[0]_s22/F
7.3980.842tNETFF1R14C40[0][A]mem0/mem_RAMOUT_0_G[0]_s8/I2
7.8600.462tINSFR1R14C40[0][A]mem0/mem_RAMOUT_0_G[0]_s8/F
7.8600.000tNETRR1R14C40[0][A]core0/reg_inst_24_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R14C40[0][A]core0/reg_inst_24_s0/CLK
10.891-0.035tSu1R14C40[0][A]core0/reg_inst_24_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.700, 38.939%; route: 4.002, 57.715%; tC2Q: 0.232, 3.346%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.031
Data Arrival Time7.860
Data Required Time10.891
Fromcore0/reg_iaddr_6_s0
Tocore0/reg_inst_30_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C39[2][A]core0/reg_iaddr_6_s0/CLK
1.1580.232tC2QRF69R13C39[2][A]core0/reg_iaddr_6_s0/Q
2.2701.112tNETFF1R13C42[3][A]mem0/mem_RAMOUT_0_G[0]_s247/I2
2.7870.517tINSFF19R13C42[3][A]mem0/mem_RAMOUT_0_G[0]_s247/F
3.5890.802tNETFF1R15C36[0][A]mem0/mem_RAMOUT_0_G[0]_s268/I3
4.0510.462tINSFR1R15C36[0][A]mem0/mem_RAMOUT_0_G[0]_s268/F
4.2240.172tNETRR1R15C37[3][A]mem0/mem_RAMOUT_0_G[0]_s126/I2
4.5950.371tINSRF2R15C37[3][A]mem0/mem_RAMOUT_0_G[0]_s126/F
5.2550.660tNETFF1R18C39[0][B]mem0/mem_RAMOUT_0_G[0]_s53/I0
5.6260.371tINSFF1R18C39[0][B]mem0/mem_RAMOUT_0_G[0]_s53/F
6.0390.413tNETFF1R18C38[3][B]mem0/mem_RAMOUT_0_G[0]_s22/I0
6.5560.517tINSFF2R18C38[3][B]mem0/mem_RAMOUT_0_G[0]_s22/F
7.3980.842tNETFF1R14C40[0][B]mem0/mem_RAMOUT_6138_G[0]_s7/I2
7.8600.462tINSFR1R14C40[0][B]mem0/mem_RAMOUT_6138_G[0]_s7/F
7.8600.000tNETRR1R14C40[0][B]core0/reg_inst_30_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R14C40[0][B]core0/reg_inst_30_s0/CLK
10.891-0.035tSu1R14C40[0][B]core0/reg_inst_30_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.700, 38.939%; route: 4.002, 57.715%; tC2Q: 0.232, 3.346%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.215
Data Arrival Time7.676
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_40_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][B]mem0/mem_s92609/I3
4.7850.517tINSFF6R20C36[3][B]mem0/mem_s92609/F
5.9561.171tNETFF1R9C35[2][B]mem0/mem_s92325/I3
6.4090.453tINSFF2R9C35[2][B]mem0/mem_s92325/F
7.0700.660tNETFF1R11C34[3][A]mem0/mem_s92710/I0
7.5320.462tINSFR1R11C34[3][A]mem0/mem_s92710/F
7.6760.144tNETRR1R11C34[2][A]mem0/mem_mem_RAMREG_40_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R11C34[2][A]mem0/mem_mem_RAMREG_40_G[0]_s0/CLK
10.891-0.035tSu1R11C34[2][A]mem0/mem_mem_RAMREG_40_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level5
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.949, 28.874%; route: 4.569, 67.689%; tC2Q: 0.232, 3.437%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.128
Data Arrival Time6.763
Data Required Time10.891
Fromcore0/reg_raddr_6_s1
Tocore0/reg_tx_data_5_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C41[0][A]core0/reg_raddr_6_s1/CLK
1.1580.232tC2QRF72R13C41[0][A]core0/reg_raddr_6_s1/Q
2.8181.660tNETFF1R20C38[3][B]mem0/mem_s92659/I3
3.3350.517tINSFF14R20C38[3][B]mem0/mem_s92659/F
4.2160.881tNETFF1R9C37[1][B]mem0/mem_RAMOUT_0_G[0]_s10/I1
4.5870.371tINSFF4R9C37[1][B]mem0/mem_RAMOUT_0_G[0]_s10/F
5.4510.863tNETFF1R16C39[1][A]mem0/mem_RAMOUT_5115_G[0]_s8/I3
6.0210.570tINSFR1R16C39[1][A]mem0/mem_RAMOUT_5115_G[0]_s8/F
6.1930.172tNETRR1R16C40[2][B]mem0/mem_RAMOUT_5115_G[0]_s4/I0
6.7630.570tINSRR1R16C40[2][B]mem0/mem_RAMOUT_5115_G[0]_s4/F
6.7630.000tNETRR1R16C40[2][B]core0/reg_tx_data_5_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R16C40[2][B]core0/reg_tx_data_5_s0/CLK
10.891-0.035tSu1R16C40[2][B]core0/reg_tx_data_5_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level5
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.028, 34.743%; route: 3.577, 61.283%; tC2Q: 0.232, 3.974%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.178
Data Arrival Time6.713
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_524_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][A]mem0/mem_s92622/I3
4.7850.517tINSFF5R20C36[3][A]mem0/mem_s92622/F
6.0201.235tNETFF1R8C47[2][B]mem0/mem_s92385/I1
6.5690.549tINSFR1R8C47[2][B]mem0/mem_s92385/F
6.7130.144tNETRR1R8C47[2][A]mem0/mem_mem_RAMREG_524_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R8C47[2][A]mem0/mem_mem_RAMREG_524_G[0]_s0/CLK
10.891-0.035tSu1R8C47[2][A]mem0/mem_mem_RAMREG_524_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.583, 27.355%; route: 3.972, 68.636%; tC2Q: 0.232, 4.009%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.327
Data Arrival Time6.564
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_41_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][B]mem0/mem_s92609/I3
4.7850.517tINSFF6R20C36[3][B]mem0/mem_s92609/F
5.9561.171tNETFF1R9C35[2][B]mem0/mem_s92325/I3
6.4180.462tINSFR2R9C35[2][B]mem0/mem_s92325/F
6.5640.146tNETRR1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0/CLK
10.891-0.035tSu1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.496, 26.534%; route: 3.910, 69.351%; tC2Q: 0.232, 4.115%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.390
Data Arrival Time6.501
Data Required Time10.891
Fromcore0/reg_raddr_11_s1
Tomem0/mem_mem_RAMREG_584_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C40[2][A]core0/reg_raddr_11_s1/CLK
1.1580.232tC2QRF3R14C40[2][A]core0/reg_raddr_11_s1/Q
2.0950.937tNETFF1R11C35[3][B]mem0/mem_s92598/I1
2.5480.453tINSFF35R11C35[3][B]mem0/mem_s92598/F
4.0041.456tNETFF1R18C48[3][B]mem0/mem_s92601/I3
4.3750.371tINSFF8R18C48[3][B]mem0/mem_s92601/F
5.0810.706tNETFF1R17C39[3][A]mem0/mem_s92656/I0
5.5430.462tINSFR1R17C39[3][A]mem0/mem_s92656/F
6.5010.959tNETRR1R17C39[1][A]mem0/mem_mem_RAMREG_584_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R17C39[1][A]mem0/mem_mem_RAMREG_584_G[0]_s0/CLK
10.891-0.035tSu1R17C39[1][A]mem0/mem_mem_RAMREG_584_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.286, 23.065%; route: 4.057, 72.774%; tC2Q: 0.232, 4.161%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.427
Data Arrival Time6.464
Data Required Time10.891
Fromcore0/reg_raddr_1_s1
Tomem0/mem_mem_RAMREG_591_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C40[0][B]core0/reg_raddr_1_s1/CLK
1.1580.232tC2QRF94R13C40[0][B]core0/reg_raddr_1_s1/Q
3.0581.900tNETFF1R9C35[3][B]mem0/mem_RAMOUT_0_G[0]_s9/I0
3.5750.517tINSFF6R9C35[3][B]mem0/mem_RAMOUT_0_G[0]_s9/F
4.5991.023tNETFF1R21C35[3][A]mem0/mem_s92633/I1
5.1160.517tINSFF5R21C35[3][A]mem0/mem_s92633/F
5.8940.778tNETFF1R20C45[2][B]mem0/mem_s92470/I1
6.4640.570tINSFR1R20C45[2][B]mem0/mem_s92470/F
6.4640.000tNETRR1R20C45[2][B]mem0/mem_mem_RAMREG_591_G[0]_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R20C45[2][B]mem0/mem_mem_RAMREG_591_G[0]_s0/CLK
10.891-0.035tSu1R20C45[2][B]mem0/mem_mem_RAMREG_591_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.604, 28.962%; route: 3.702, 66.848%; tC2Q: 0.232, 4.189%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.479
Data Arrival Time6.412
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_43_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][B]mem0/mem_s92609/I3
4.7850.517tINSFF6R20C36[3][B]mem0/mem_s92609/F
5.8631.078tNETFF1R8C42[1][B]mem0/mem_s92564/I0
6.4120.549tINSFR1R8C42[1][B]mem0/mem_s92564/F
6.4120.000tNETRR1R8C42[1][B]mem0/mem_mem_RAMREG_43_G[0]_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R8C42[1][B]mem0/mem_mem_RAMREG_43_G[0]_s0/CLK
10.891-0.035tSu1R8C42[1][B]mem0/mem_mem_RAMREG_43_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.583, 28.855%; route: 3.671, 66.916%; tC2Q: 0.232, 4.229%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.500
Data Arrival Time6.391
Data Required Time10.891
Fromuart0/clock_count_28_s0
Touart0/send_count_0_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R7C36[2][A]uart0/clock_count_28_s0/CLK
1.1580.232tC2QRF2R7C36[2][A]uart0/clock_count_28_s0/Q
1.3190.161tNETFF1R7C36[3][A]uart0/n12_s5/I1
1.8890.570tINSFR1R7C36[3][A]uart0/n12_s5/F
2.0610.172tNETRR1R7C37[2][A]uart0/n12_s3/I1
2.6160.555tINSRF1R7C37[2][A]uart0/n12_s3/F
3.2720.656tNETFF1R7C32[3][B]uart0/n12_s2/I2
3.7250.453tINSFF2R7C32[3][B]uart0/n12_s2/F
4.1470.422tNETFF1R8C33[0][B]uart0/n191_s12/I3
4.6000.453tINSFF7R8C33[0][B]uart0/n191_s12/F
5.3080.707tNETFF1R7C38[2][B]uart0/n298_s1/I1
5.6790.371tINSFF3R7C38[2][B]uart0/n298_s1/F
5.6920.013tNETFF1R7C38[3][A]uart0/send_count_3_s4/I3
6.2410.549tINSFR4R7C38[3][A]uart0/send_count_3_s4/F
6.3910.150tNETRR1R7C38[0][A]uart0/send_count_0_s2/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R7C38[0][A]uart0/send_count_0_s2/CLK
10.891-0.035tSu1R7C38[0][A]uart0/send_count_0_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.951, 53.997%; route: 2.282, 41.758%; tC2Q: 0.232, 4.245%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.500
Data Arrival Time6.391
Data Required Time10.891
Fromuart0/clock_count_28_s0
Touart0/send_count_1_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R7C36[2][A]uart0/clock_count_28_s0/CLK
1.1580.232tC2QRF2R7C36[2][A]uart0/clock_count_28_s0/Q
1.3190.161tNETFF1R7C36[3][A]uart0/n12_s5/I1
1.8890.570tINSFR1R7C36[3][A]uart0/n12_s5/F
2.0610.172tNETRR1R7C37[2][A]uart0/n12_s3/I1
2.6160.555tINSRF1R7C37[2][A]uart0/n12_s3/F
3.2720.656tNETFF1R7C32[3][B]uart0/n12_s2/I2
3.7250.453tINSFF2R7C32[3][B]uart0/n12_s2/F
4.1470.422tNETFF1R8C33[0][B]uart0/n191_s12/I3
4.6000.453tINSFF7R8C33[0][B]uart0/n191_s12/F
5.3080.707tNETFF1R7C38[2][B]uart0/n298_s1/I1
5.6790.371tINSFF3R7C38[2][B]uart0/n298_s1/F
5.6920.013tNETFF1R7C38[3][A]uart0/send_count_3_s4/I3
6.2410.549tINSFR4R7C38[3][A]uart0/send_count_3_s4/F
6.3910.150tNETRR1R7C38[1][A]uart0/send_count_1_s2/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R7C38[1][A]uart0/send_count_1_s2/CLK
10.891-0.035tSu1R7C38[1][A]uart0/send_count_1_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.951, 53.997%; route: 2.282, 41.758%; tC2Q: 0.232, 4.245%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.500
Data Arrival Time6.391
Data Required Time10.891
Fromuart0/clock_count_28_s0
Touart0/send_count_2_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R7C36[2][A]uart0/clock_count_28_s0/CLK
1.1580.232tC2QRF2R7C36[2][A]uart0/clock_count_28_s0/Q
1.3190.161tNETFF1R7C36[3][A]uart0/n12_s5/I1
1.8890.570tINSFR1R7C36[3][A]uart0/n12_s5/F
2.0610.172tNETRR1R7C37[2][A]uart0/n12_s3/I1
2.6160.555tINSRF1R7C37[2][A]uart0/n12_s3/F
3.2720.656tNETFF1R7C32[3][B]uart0/n12_s2/I2
3.7250.453tINSFF2R7C32[3][B]uart0/n12_s2/F
4.1470.422tNETFF1R8C33[0][B]uart0/n191_s12/I3
4.6000.453tINSFF7R8C33[0][B]uart0/n191_s12/F
5.3080.707tNETFF1R7C38[2][B]uart0/n298_s1/I1
5.6790.371tINSFF3R7C38[2][B]uart0/n298_s1/F
5.6920.013tNETFF1R7C38[3][A]uart0/send_count_3_s4/I3
6.2410.549tINSFR4R7C38[3][A]uart0/send_count_3_s4/F
6.3910.150tNETRR1R7C38[0][B]uart0/send_count_2_s2/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R7C38[0][B]uart0/send_count_2_s2/CLK
10.891-0.035tSu1R7C38[0][B]uart0/send_count_2_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.951, 53.997%; route: 2.282, 41.758%; tC2Q: 0.232, 4.245%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.500
Data Arrival Time6.391
Data Required Time10.891
Fromuart0/clock_count_28_s0
Touart0/send_count_3_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R7C36[2][A]uart0/clock_count_28_s0/CLK
1.1580.232tC2QRF2R7C36[2][A]uart0/clock_count_28_s0/Q
1.3190.161tNETFF1R7C36[3][A]uart0/n12_s5/I1
1.8890.570tINSFR1R7C36[3][A]uart0/n12_s5/F
2.0610.172tNETRR1R7C37[2][A]uart0/n12_s3/I1
2.6160.555tINSRF1R7C37[2][A]uart0/n12_s3/F
3.2720.656tNETFF1R7C32[3][B]uart0/n12_s2/I2
3.7250.453tINSFF2R7C32[3][B]uart0/n12_s2/F
4.1470.422tNETFF1R8C33[0][B]uart0/n191_s12/I3
4.6000.453tINSFF7R8C33[0][B]uart0/n191_s12/F
5.3080.707tNETFF1R7C38[2][B]uart0/n298_s1/I1
5.6790.371tINSFF3R7C38[2][B]uart0/n298_s1/F
5.6920.013tNETFF1R7C38[3][A]uart0/send_count_3_s4/I3
6.2410.549tINSFR4R7C38[3][A]uart0/send_count_3_s4/F
6.3910.150tNETRR1R7C38[1][B]uart0/send_count_3_s2/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R7C38[1][B]uart0/send_count_3_s2/CLK
10.891-0.035tSu1R7C38[1][B]uart0/send_count_3_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level7
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 2.951, 53.997%; route: 2.282, 41.758%; tC2Q: 0.232, 4.245%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.551
Data Arrival Time6.340
Data Required Time10.891
Fromcore0/reg_raddr_1_s1
Tomem0/mem_mem_RAMREG_586_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C40[0][B]core0/reg_raddr_1_s1/CLK
1.1580.232tC2QRF94R13C40[0][B]core0/reg_raddr_1_s1/Q
3.0581.900tNETFF1R9C35[3][B]mem0/mem_RAMOUT_0_G[0]_s9/I0
3.5750.517tINSFF6R9C35[3][B]mem0/mem_RAMOUT_0_G[0]_s9/F
4.5991.023tNETFF1R21C35[3][A]mem0/mem_s92633/I1
5.1480.549tINSFR5R21C35[3][A]mem0/mem_s92633/F
5.1540.007tNETRR1R21C35[2][A]mem0/mem_s92421/I3
5.6160.462tINSRR1R21C35[2][A]mem0/mem_s92421/F
6.3400.724tNETRR1R21C35[2][B]mem0/mem_mem_RAMREG_586_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R21C35[2][B]mem0/mem_mem_RAMREG_586_G[0]_s0/CLK
10.891-0.035tSu1R21C35[2][B]mem0/mem_mem_RAMREG_586_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.528, 28.223%; route: 3.654, 67.492%; tC2Q: 0.232, 4.285%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.583
Data Arrival Time6.308
Data Required Time10.891
Fromcore0/reg_raddr_11_s1
Tomem0/mem_mem_RAMREG_548_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C40[2][A]core0/reg_raddr_11_s1/CLK
1.1580.232tC2QRF3R14C40[2][A]core0/reg_raddr_11_s1/Q
2.0950.937tNETFF1R11C35[3][B]mem0/mem_s92598/I1
2.5480.453tINSFF35R11C35[3][B]mem0/mem_s92598/F
4.0111.463tNETFF1R9C48[1][A]mem0/mem_s92684/I3
4.5660.555tINSFF17R9C48[1][A]mem0/mem_s92684/F
5.0140.448tNETFF1R7C47[1][B]mem0/mem_s92396/I0
5.5840.570tINSFR1R7C47[1][B]mem0/mem_s92396/F
6.3080.724tNETRR1R7C47[1][A]mem0/mem_mem_RAMREG_548_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R7C47[1][A]mem0/mem_mem_RAMREG_548_G[0]_s0/CLK
10.891-0.035tSu1R7C47[1][A]mem0/mem_mem_RAMREG_548_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.578, 29.320%; route: 3.572, 66.369%; tC2Q: 0.232, 4.311%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.591
Data Arrival Time6.300
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_41_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][B]mem0/mem_s92609/I3
4.7850.517tINSFF6R20C36[3][B]mem0/mem_s92609/F
5.7300.945tNETFF1R9C35[0][A]mem0/mem_s92566/I1
6.3000.570tINSFR1R9C35[0][A]mem0/mem_s92566/F
6.3000.000tNETRR1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0/CLK
10.891-0.035tSu1R9C35[0][A]mem0/mem_mem_RAMREG_41_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.604, 29.848%; route: 3.538, 65.835%; tC2Q: 0.232, 4.317%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.622
Data Arrival Time6.268
Data Required Time10.891
Fromcore0/reg_raddr_2_s1
Tomem0/mem_mem_RAMREG_45_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R13C42[0][A]core0/reg_raddr_2_s1/CLK
1.1580.232tC2QRF48R13C42[0][A]core0/reg_raddr_2_s1/Q
2.4871.329tNETFF1R11C35[0][A]mem0/mem_s92619/I1
3.0040.517tINSFF14R11C35[0][A]mem0/mem_s92619/F
4.2681.264tNETFF1R20C36[3][B]mem0/mem_s92609/I3
4.7850.517tINSFF6R20C36[3][B]mem0/mem_s92609/F
5.8061.022tNETFF1R17C46[1][B]mem0/mem_s92562/I1
6.2680.462tINSFR1R17C46[1][B]mem0/mem_s92562/F
6.2680.000tNETRR1R17C46[1][B]mem0/mem_mem_RAMREG_45_G[0]_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R17C46[1][B]mem0/mem_mem_RAMREG_45_G[0]_s0/CLK
10.891-0.035tSu1R17C46[1][B]mem0/mem_mem_RAMREG_45_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.496, 28.001%; route: 3.615, 67.656%; tC2Q: 0.232, 4.342%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack4.634
Data Arrival Time6.257
Data Required Time10.891
Fromcore0/reg_raddr_11_s1
Tomem0/mem_mem_RAMREG_36_G[0]_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6830.683tINSRR300IOT27[A]clock_ibuf/O
0.9260.243tNETRR1R14C40[2][A]core0/reg_raddr_11_s1/CLK
1.1580.232tC2QRF3R14C40[2][A]core0/reg_raddr_11_s1/Q
2.0950.937tNETFF1R11C35[3][B]mem0/mem_s92598/I1
2.5480.453tINSFF35R11C35[3][B]mem0/mem_s92598/F
4.0111.463tNETFF1R9C48[1][A]mem0/mem_s92684/I3
4.5660.555tINSFF17R9C48[1][A]mem0/mem_s92684/F
5.0360.469tNETFF1R8C47[1][B]mem0/mem_s92320/I0
5.4980.462tINSFR1R8C47[1][B]mem0/mem_s92320/F
6.2570.759tNETRR1R8C47[1][A]mem0/mem_mem_RAMREG_36_G[0]_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
10.00010.000active clock edge time
10.0000.000clock
10.0000.000tCLRR1IOT27[A]clock_ibuf/I
10.6820.683tINSRR300IOT27[A]clock_ibuf/O
10.9260.243tNETRR1R8C47[1][A]mem0/mem_mem_RAMREG_36_G[0]_s0/CLK
10.891-0.035tSu1R8C47[1][A]mem0/mem_mem_RAMREG_36_G[0]_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 10.000
Logic Level4
Arrival Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
Arrival Data Path Delaycell: 1.470, 27.573%; route: 3.629, 68.075%; tC2Q: 0.232, 4.352%
Required Clock Path Delaycell: 0.683, 73.717%; route: 0.243, 26.283%
+

Hold Analysis Report

+

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/led_flag_s1
Touart0/led_flag_s1
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C37[1][A]uart0/led_flag_s1/CLK
1.0620.202tC2QRR2R7C37[1][A]uart0/led_flag_s1/Q
1.0640.002tNETRR1R7C37[1][A]uart0/n79_s2/I0
1.2960.232tINSRF1R7C37[1][A]uart0/n79_s2/F
1.2960.000tNETFF1R7C37[1][A]uart0/led_flag_s1/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C37[1][A]uart0/led_flag_s1/CLK
0.8710.011tHld1R7C37[1][A]uart0/led_flag_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_2_s0
Touart0/clock_count_2_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C32[1][A]uart0/clock_count_2_s0/CLK
1.0620.202tC2QRR2R7C32[1][A]uart0/clock_count_2_s0/Q
1.0640.002tNETRR2R7C32[1][A]uart0/n44_s/I1
1.2960.232tINSRF1R7C32[1][A]uart0/n44_s/SUM
1.2960.000tNETFF1R7C32[1][A]uart0/clock_count_2_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C32[1][A]uart0/clock_count_2_s0/CLK
0.8710.011tHld1R7C32[1][A]uart0/clock_count_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_6_s0
Touart0/clock_count_6_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C33[0][A]uart0/clock_count_6_s0/CLK
1.0620.202tC2QRR3R7C33[0][A]uart0/clock_count_6_s0/Q
1.0640.002tNETRR2R7C33[0][A]uart0/n40_s/I1
1.2960.232tINSRF1R7C33[0][A]uart0/n40_s/SUM
1.2960.000tNETFF1R7C33[0][A]uart0/clock_count_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C33[0][A]uart0/clock_count_6_s0/CLK
0.8710.011tHld1R7C33[0][A]uart0/clock_count_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_8_s0
Touart0/clock_count_8_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C33[1][A]uart0/clock_count_8_s0/CLK
1.0620.202tC2QRR2R7C33[1][A]uart0/clock_count_8_s0/Q
1.0640.002tNETRR2R7C33[1][A]uart0/n38_s/I1
1.2960.232tINSRF1R7C33[1][A]uart0/n38_s/SUM
1.2960.000tNETFF1R7C33[1][A]uart0/clock_count_8_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C33[1][A]uart0/clock_count_8_s0/CLK
0.8710.011tHld1R7C33[1][A]uart0/clock_count_8_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_12_s0
Touart0/clock_count_12_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C34[0][A]uart0/clock_count_12_s0/CLK
1.0620.202tC2QRR2R7C34[0][A]uart0/clock_count_12_s0/Q
1.0640.002tNETRR2R7C34[0][A]uart0/n34_s/I1
1.2960.232tINSRF1R7C34[0][A]uart0/n34_s/SUM
1.2960.000tNETFF1R7C34[0][A]uart0/clock_count_12_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C34[0][A]uart0/clock_count_12_s0/CLK
0.8710.011tHld1R7C34[0][A]uart0/clock_count_12_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_14_s0
Touart0/clock_count_14_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C34[1][A]uart0/clock_count_14_s0/CLK
1.0620.202tC2QRR2R7C34[1][A]uart0/clock_count_14_s0/Q
1.0640.002tNETRR2R7C34[1][A]uart0/n32_s/I1
1.2960.232tINSRF1R7C34[1][A]uart0/n32_s/SUM
1.2960.000tNETFF1R7C34[1][A]uart0/clock_count_14_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C34[1][A]uart0/clock_count_14_s0/CLK
0.8710.011tHld1R7C34[1][A]uart0/clock_count_14_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_18_s0
Touart0/clock_count_18_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C35[0][A]uart0/clock_count_18_s0/CLK
1.0620.202tC2QRR2R7C35[0][A]uart0/clock_count_18_s0/Q
1.0640.002tNETRR2R7C35[0][A]uart0/n28_s/I1
1.2960.232tINSRF1R7C35[0][A]uart0/n28_s/SUM
1.2960.000tNETFF1R7C35[0][A]uart0/clock_count_18_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C35[0][A]uart0/clock_count_18_s0/CLK
0.8710.011tHld1R7C35[0][A]uart0/clock_count_18_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_20_s0
Touart0/clock_count_20_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C35[1][A]uart0/clock_count_20_s0/CLK
1.0620.202tC2QRR2R7C35[1][A]uart0/clock_count_20_s0/Q
1.0640.002tNETRR2R7C35[1][A]uart0/n26_s/I1
1.2960.232tINSRF1R7C35[1][A]uart0/n26_s/SUM
1.2960.000tNETFF1R7C35[1][A]uart0/clock_count_20_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C35[1][A]uart0/clock_count_20_s0/CLK
0.8710.011tHld1R7C35[1][A]uart0/clock_count_20_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_24_s0
Touart0/clock_count_24_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C36[0][A]uart0/clock_count_24_s0/CLK
1.0620.202tC2QRR2R7C36[0][A]uart0/clock_count_24_s0/Q
1.0640.002tNETRR2R7C36[0][A]uart0/n22_s/I1
1.2960.232tINSRF1R7C36[0][A]uart0/n22_s/SUM
1.2960.000tNETFF1R7C36[0][A]uart0/clock_count_24_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C36[0][A]uart0/clock_count_24_s0/CLK
0.8710.011tHld1R7C36[0][A]uart0/clock_count_24_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_26_s0
Touart0/clock_count_26_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C36[1][A]uart0/clock_count_26_s0/CLK
1.0620.202tC2QRR2R7C36[1][A]uart0/clock_count_26_s0/Q
1.0640.002tNETRR2R7C36[1][A]uart0/n20_s/I1
1.2960.232tINSRF1R7C36[1][A]uart0/n20_s/SUM
1.2960.000tNETFF1R7C36[1][A]uart0/clock_count_26_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C36[1][A]uart0/clock_count_26_s0/CLK
0.8710.011tHld1R7C36[1][A]uart0/clock_count_26_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.425
Data Arrival Time1.296
Data Required Time0.871
Fromuart0/clock_count_30_s0
Touart0/clock_count_30_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C37[0][A]uart0/clock_count_30_s0/CLK
1.0620.202tC2QRR2R7C37[0][A]uart0/clock_count_30_s0/Q
1.0640.002tNETRR2R7C37[0][A]uart0/n16_s/I1
1.2960.232tINSRF1R7C37[0][A]uart0/n16_s/SUM
1.2960.000tNETFF1R7C37[0][A]uart0/clock_count_30_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C37[0][A]uart0/clock_count_30_s0/CLK
0.8710.011tHld1R7C37[0][A]uart0/clock_count_30_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.157%; route: 0.002, 0.560%; tC2Q: 0.202, 46.283%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.427
Data Arrival Time1.297
Data Required Time0.871
Fromuart0/clock_count_0_s0
Touart0/clock_count_0_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C31[0][A]uart0/clock_count_0_s0/CLK
1.0620.202tC2QRR4R7C31[0][A]uart0/clock_count_0_s0/Q
1.0650.004tNETRR1R7C31[0][A]uart0/n46_s2/I0
1.2970.232tINSRF1R7C31[0][A]uart0/n46_s2/F
1.2970.000tNETFF1R7C31[0][A]uart0/clock_count_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C31[0][A]uart0/clock_count_0_s0/CLK
0.8710.011tHld1R7C31[0][A]uart0/clock_count_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 53.008%; route: 0.004, 0.838%; tC2Q: 0.202, 46.154%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.428
Data Arrival Time1.299
Data Required Time0.871
Fromuart0/send_count_0_s2
Touart0/send_count_0_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C38[0][A]uart0/send_count_0_s2/CLK
1.0620.202tC2QRR8R7C38[0][A]uart0/send_count_0_s2/Q
1.0670.005tNETRR1R7C38[0][A]uart0/n210_s8/I1
1.2990.232tINSRF1R7C38[0][A]uart0/n210_s8/F
1.2990.000tNETFF1R7C38[0][A]uart0/send_count_0_s2/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C38[0][A]uart0/send_count_0_s2/CLK
0.8710.011tHld1R7C38[0][A]uart0/send_count_0_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 52.861%; route: 0.005, 1.114%; tC2Q: 0.202, 46.025%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.428
Data Arrival Time1.299
Data Required Time0.871
Fromuart0/send_count_1_s2
Touart0/send_count_1_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C38[1][A]uart0/send_count_1_s2/CLK
1.0620.202tC2QRR6R7C38[1][A]uart0/send_count_1_s2/Q
1.0670.005tNETRR1R7C38[1][A]uart0/n208_s8/I1
1.2990.232tINSRF1R7C38[1][A]uart0/n208_s8/F
1.2990.000tNETFF1R7C38[1][A]uart0/send_count_1_s2/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R7C38[1][A]uart0/send_count_1_s2/CLK
0.8710.011tHld1R7C38[1][A]uart0/send_count_1_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 52.861%; route: 0.005, 1.114%; tC2Q: 0.202, 46.025%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.428
Data Arrival Time1.299
Data Required Time0.871
Fromuart0/state_0_s1
Touart0/state_0_s1
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R8C39[0][A]uart0/state_0_s1/CLK
1.0620.202tC2QRR10R8C39[0][A]uart0/state_0_s1/Q
1.0670.005tNETRR1R8C39[0][A]uart0/n194_s10/I2
1.2990.232tINSRF1R8C39[0][A]uart0/n194_s10/F
1.2990.000tNETFF1R8C39[0][A]uart0/state_0_s1/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R8C39[0][A]uart0/state_0_s1/CLK
0.8710.011tHld1R8C39[0][A]uart0/state_0_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 52.861%; route: 0.005, 1.114%; tC2Q: 0.202, 46.025%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.488
Data Arrival Time1.359
Data Required Time0.871
Fromcore0/stage_0_s2
Tocore0/stage_0_s2
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R13C40[2][A]core0/stage_0_s2/CLK
1.0620.202tC2QRR17R13C40[2][A]core0/stage_0_s2/Q
1.0690.007tNETRR1R13C40[2][A]core0/n396_s2/I1
1.3590.290tINSRF1R13C40[2][A]core0/n396_s2/F
1.3590.000tNETFF1R13C40[2][A]core0/stage_0_s2/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R13C40[2][A]core0/stage_0_s2/CLK
0.8710.011tHld1R13C40[2][A]core0/stage_0_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.290, 58.077%; route: 0.007, 1.469%; tC2Q: 0.202, 40.454%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/opcode_0_s0
Tocore0/reg_wen_s1
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R9C40[1][B]core0/opcode_0_s0/CLK
1.0610.201tC2QRF1R9C40[1][B]core0/opcode_0_s0/Q
1.1780.117tNETFF1R9C40[0][A]core0/n670_s5/I1
1.4100.232tINSFF1R9C40[0][A]core0/n670_s5/F
1.4100.000tNETFF1R9C40[0][A]core0/reg_wen_s1/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R9C40[0][A]core0/reg_wen_s1/CLK
0.8710.011tHld1R9C40[0][A]core0/reg_wen_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 42.184%; route: 0.117, 21.269%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/rs2_3_s0
Tocore0/reg_wdata_6_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R9C39[1][B]core0/rs2_3_s0/CLK
1.0610.201tC2QRF1R9C39[1][B]core0/rs2_3_s0/Q
1.1780.117tNETFF1R9C39[0][A]core0/rs2_data_0_s17/I1
1.4100.232tINSFF1R9C39[0][A]core0/rs2_data_0_s17/F
1.4100.000tNETFF1R9C39[0][A]core0/reg_wdata_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R9C39[0][A]core0/reg_wdata_6_s0/CLK
0.8710.011tHld1R9C39[0][A]core0/reg_wdata_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.232, 42.184%; route: 0.117, 21.269%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/s_imm_5_s0
Tocore0/alu_out_5_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R12C41[2][A]core0/s_imm_5_s0/CLK
1.0610.201tC2QRF1R12C41[2][A]core0/s_imm_5_s0/Q
1.4100.349tNETFF1R12C41[1][B]core0/alu_out_5_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R12C41[1][B]core0/alu_out_5_s0/CLK
0.8710.011tHld1R12C41[1][B]core0/alu_out_5_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.349, 63.453%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/s_imm_10_s0
Tocore0/alu_out_10_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R15C40[2][A]core0/s_imm_10_s0/CLK
1.0610.201tC2QRF1R15C40[2][A]core0/s_imm_10_s0/Q
1.4100.349tNETFF1R15C40[1][A]core0/alu_out_10_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R15C40[1][A]core0/alu_out_10_s0/CLK
0.8710.011tHld1R15C40[1][A]core0/alu_out_10_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.349, 63.453%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/pc_1_s0
Tocore0/reg_iaddr_1_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R14C42[0][B]core0/pc_1_s0/CLK
1.0610.201tC2QRF1R14C42[0][B]core0/pc_1_s0/Q
1.4100.349tNETFF1R14C42[1][A]core0/reg_iaddr_1_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R14C42[1][A]core0/reg_iaddr_1_s0/CLK
0.8710.011tHld1R14C42[1][A]core0/reg_iaddr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.349, 63.453%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromuart0/local_in_1_s0
Touart0/data_1_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R8C41[1][B]uart0/local_in_1_s0/CLK
1.0610.201tC2QRF1R8C41[1][B]uart0/local_in_1_s0/Q
1.4100.349tNETFF1R8C41[2][A]uart0/data_1_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R8C41[2][A]uart0/data_1_s0/CLK
0.8710.011tHld1R8C41[2][A]uart0/data_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.349, 63.453%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.539
Data Arrival Time1.410
Data Required Time0.871
Fromcore0/reg_tx_data_5_s0
Touart0/local_in_5_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R16C40[2][B]core0/reg_tx_data_5_s0/CLK
1.0610.201tC2QRF1R16C40[2][B]core0/reg_tx_data_5_s0/Q
1.4100.349tNETFF1R16C40[1][A]uart0/local_in_5_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R16C40[1][A]uart0/local_in_5_s0/CLK
0.8710.011tHld1R16C40[1][A]uart0/local_in_5_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.349, 63.453%; tC2Q: 0.201, 36.547%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.542
Data Arrival Time1.413
Data Required Time0.871
Fromcore0/pc_3_s0
Tocore0/reg_iaddr_3_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R14C39[0][B]core0/pc_3_s0/CLK
1.0610.201tC2QRF2R14C39[0][B]core0/pc_3_s0/Q
1.4130.352tNETFF1R14C39[1][B]core0/reg_iaddr_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R14C39[1][B]core0/reg_iaddr_3_s0/CLK
0.8710.011tHld1R14C39[1][B]core0/reg_iaddr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.352, 63.669%; tC2Q: 0.201, 36.331%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.542
Data Arrival Time1.413
Data Required Time0.871
Fromcore0/pc_6_s0
Tocore0/reg_iaddr_6_s0
Launch Clkclock:[R]
Latch Clkclock:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R13C39[1][B]core0/pc_6_s0/CLK
1.0610.201tC2QRF2R13C39[1][B]core0/pc_6_s0/Q
1.4130.352tNETFF1R13C39[2][A]core0/reg_iaddr_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000clock
0.0000.000tCLRR1IOT27[A]clock_ibuf/I
0.6750.675tINSRR300IOT27[A]clock_ibuf/O
0.8600.184tNETRR1R13C39[2][A]core0/reg_iaddr_6_s0/CLK
0.8710.011tHld1R13C39[2][A]core0/reg_iaddr_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.352, 63.669%; tC2Q: 0.201, 36.331%
Required Clock Path Delaycell: 0.675, 78.568%; route: 0.184, 21.432%
+

Recovery Analysis Report

+

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

+

No recovery paths to report!

+

Removal Analysis Report

+

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

+

No removal paths to report!

+

Minimum Pulse Width Report:

+

Report Command:report_min_pulse_width -nworst 10 -detail

+

MPW1

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:uart0/clock_count_30_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFuart0/clock_count_30_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRuart0/clock_count_30_s0/CLK
+

MPW2

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:uart0/clock_count_28_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFuart0/clock_count_28_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRuart0/clock_count_28_s0/CLK
+

MPW3

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:uart0/clock_count_24_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFuart0/clock_count_24_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRuart0/clock_count_24_s0/CLK
+

MPW4

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:uart0/clock_count_16_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFuart0/clock_count_16_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRuart0/clock_count_16_s0/CLK
+

MPW5

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:uart0/clock_count_0_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFuart0/clock_count_0_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRuart0/clock_count_0_s0/CLK
+

MPW6

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:mem0/mem_mem_RAMREG_6_G[0]_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFmem0/mem_mem_RAMREG_6_G[0]_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRmem0/mem_mem_RAMREG_6_G[0]_s0/CLK
+

MPW7

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:mem0/mem_mem_RAMREG_109_G[0]_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFmem0/mem_mem_RAMREG_109_G[0]_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRmem0/mem_mem_RAMREG_109_G[0]_s0/CLK
+

MPW8

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:core0/rs1_1_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFcore0/rs1_1_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRcore0/rs1_1_s0/CLK
+

MPW9

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:core0/rs1_2_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFcore0/rs1_2_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRcore0/rs1_2_s0/CLK
+

MPW10

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:3.911
Actual Width:4.911
Required Width:1.000
Type:Low Pulse Width
Clock:clock
Objects:mem0/mem_mem_RAMREG_108_G[0]_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
5.0000.000active clock edge time
5.0000.000clock
5.0000.000tCLFFclock_ibuf/I
5.6880.688tINSFFclock_ibuf/O
5.9490.261tNETFFmem0/mem_mem_RAMREG_108_G[0]_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.0000.000active clock edge time
10.0000.000clock
10.0000.000tCLRRclock_ibuf/I
10.6750.675tINSRRclock_ibuf/O
10.8600.184tNETRRmem0/mem_mem_RAMREG_108_G[0]_s0/CLK
+

High Fanout Nets Report:

+

Report Command:report_high_fanout_nets -max_nets 10

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
FANOUTNET NAMEWORST SLACKMAX DELAY
300clock_d1.1040.261
152wdata[6]6.6602.524
127iaddr[9]2.1711.262
102raddr[9]3.3652.440
94raddr[1]3.6023.482
72raddr[6]2.4563.339
69iaddr[6]1.7841.190
60raddr[0]5.4251.000
58iaddr[5]1.1041.257
56iaddr[4]1.3311.003
+

Route Congestions Report:

+

Report Command:report_route_congestion -max_grids 10

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
GRID LOCROUTE CONGESTIONS
R13C4093.06%
R14C3991.67%
R13C3990.28%
R13C4188.89%
R14C4286.11%
R12C4084.72%
R12C4184.72%
R14C4080.56%
R7C3380.56%
R13C3879.17%
+

Timing Exceptions Report:

+

Setup Analysis Report

+

Report Command:report_exceptions -setup -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Hold Analysis Report

+

Report Command:report_exceptions -hold -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Recovery Analysis Report

+

Report Command:report_exceptions -recovery -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Removal Analysis Report

+

Report Command:report_exceptions -removal -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Timing Constraints Report:

+ + + + + + +
SDC Command TypeStateDetail Command
+
+ + diff --git a/impl/pnr/device.cfg b/impl/pnr/device.cfg new file mode 100644 index 0000000..772e01b --- /dev/null +++ b/impl/pnr/device.cfg @@ -0,0 +1,20 @@ +set JTAG regular_io = false +set SSPI regular_io = false +set MSPI regular_io = false +set READY regular_io = false +set DONE regular_io = false +set RECONFIG_N regular_io = false +set I2C regular_io = false +set CRC_check = true +set compress = false +set encryption = false +set security_bit_enable = true +set bsram_init_fuse_print = true +set background_programming = off +set secure_mode = false +set program_done_bypass = false +set wake_up = 0 +set spi_flash_address = 0x00000000 +set format = binary +set power_on_reset_monitor = true +set unused_pin = default diff --git a/impl/project_process_config.json b/impl/project_process_config.json new file mode 100644 index 0000000..880627c --- /dev/null +++ b/impl/project_process_config.json @@ -0,0 +1,82 @@ +{ + "Allow_Duplicate_Modules" : false, + "Annotated_Properties_for_Analyst" : true, + "BACKGROUND_PROGRAMMING" : "off", + "COMPRESS" : false, + "CRC_CHECK" : true, + "Clock_Conversion" : true, + "DONE" : false, + "DOWNLOAD_SPEED" : "default", + "Default_Enum_Encoding" : "default", + "Disable_Insert_Pad" : false, + "ENCRYPTION_KEY" : false, + "ENCRYPTION_KEY_TEXT" : "00000000000000000000000000000000", + "FORMAT" : "binary", + "FSM Compiler" : true, + "Fanout_Guide" : 10000, + "Frequency" : "Auto", + "Generate_Constraint_File_of_Ports" : false, + "Generate_IBIS_File" : false, + "Generate_Plain_Text_Timing_Report" : false, + "Generate_Post_PNR_Simulation_Model_File" : false, + "Generate_Post_Place_File" : false, + "Generate_SDF_File" : false, + "GwSyn_Loop_Limit" : 2000, + "HOTBOOT" : false, + "I2C" : false, + "I2C_SLAVE_ADDR" : "00", + "Implicit_Initial_Value_Support" : false, + "IncludePath" : [ + + ], + "Incremental_Compile" : "", + "Initialize_Primitives" : false, + "JTAG" : false, + "MODE_IO" : false, + "MSPI" : false, + "Multiple_File_Compilation_Unit" : true, + "Number_of_Critical_Paths" : "", + "Number_of_Start/End_Points" : "", + "OUTPUT_BASE_NAME" : "cpu", + "POWER_ON_RESET_MONITOR" : true, + "PRINT_BSRAM_VALUE" : true, + "PROGRAM_DONE_BYPASS" : false, + "Pipelining" : true, + "PlaceInRegToIob" : true, + "PlaceIoRegToIob" : true, + "PlaceOutRegToIob" : true, + "Place_Option" : "0", + "Process_Configuration_Verion" : "1.0", + "Promote_Physical_Constraint_Warning_to_Error" : true, + "Push_Tristates" : true, + "READY" : false, + "RECONFIG_N" : false, + "Ram_RW_Check" : true, + "Report_Auto-Placed_Io_Information" : false, + "Resolve_Mixed_Drivers" : false, + "Resource_Sharing" : true, + "Retiming" : false, + "Route_Maxfan" : "23", + "Route_Option" : "0", + "Run_Timing_Driven" : true, + "SECURE_MODE" : false, + "SECURITY_BIT" : true, + "SPI_FLASH_ADDR" : "00000000", + "SSPI" : false, + "Show_All_Warnings" : false, + "Synthesis On/Off Implemented as Translate On/Off" : false, + "Synthesize_tool" : "GowinSyn", + "TopModule" : "", + "USERCODE" : "default", + "Unused_Pin" : "As_input_tri_stated_with_pull_up", + "Update_Compile_Point_Timing_Data" : false, + "Use_Clock_Period_for_Unconstrainted IO" : false, + "Use_SCF" : false, + "VHDL_Standard" : "VHDL_Std_1993", + "Verilog_Standard" : "Vlg_Std_2001", + "WAKE_UP" : "0", + "Write_Vendor_Constraint_File" : true, + "dsp_balance" : false, + "show_all_warnings" : false, + "turn_off_bg" : false +} \ No newline at end of file diff --git a/impl/temp/rtl_parser.result b/impl/temp/rtl_parser.result new file mode 100644 index 0000000..cbe799f --- /dev/null +++ b/impl/temp/rtl_parser.result @@ -0,0 +1,36 @@ +[ + { + "InstFile" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "InstLine" : 1, + "InstName" : "TOP", + "ModuleFile" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "ModuleLine" : 1, + "ModuleName" : "TOP", + "SubInsts" : [ + { + "InstFile" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "InstLine" : 11, + "InstName" : "uart0", + "ModuleFile" : "C:/Users/kuroc/Downloads/cpu/src/uart.v", + "ModuleLine" : 1, + "ModuleName" : "UART" + }, + { + "InstFile" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "InstLine" : 26, + "InstName" : "mem0", + "ModuleFile" : "C:/Users/kuroc/Downloads/cpu/src/memory.v", + "ModuleLine" : 1, + "ModuleName" : "MEMORY" + }, + { + "InstFile" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "InstLine" : 36, + "InstName" : "core0", + "ModuleFile" : "C:/Users/kuroc/Downloads/cpu/src/core.v", + "ModuleLine" : 1, + "ModuleName" : "CORE" + } + ] + } +] \ No newline at end of file diff --git a/impl/temp/rtl_parser_arg.json b/impl/temp/rtl_parser_arg.json new file mode 100644 index 0000000..ae7cad8 --- /dev/null +++ b/impl/temp/rtl_parser_arg.json @@ -0,0 +1,28 @@ +{ + "Files" : [ + { + "Path" : "C:/Users/kuroc/Downloads/cpu/src/memory.v", + "Type" : "verilog" + }, + { + "Path" : "C:/Users/kuroc/Downloads/cpu/src/top.v", + "Type" : "verilog" + }, + { + "Path" : "C:/Users/kuroc/Downloads/cpu/src/uart.v", + "Type" : "verilog" + }, + { + "Path" : "C:/Users/kuroc/Downloads/cpu/src/core.v", + "Type" : "verilog" + } + ], + "IncludePath" : [ + + ], + "LoopLimit" : 2000, + "ResultFile" : "C:/Users/kuroc/Downloads/cpu/impl/temp/rtl_parser.result", + "Top" : "", + "VerilogStd" : "verilog_2001", + "VhdlStd" : "vhdl_93" +} \ No newline at end of file diff --git a/src/core.v b/src/core.v new file mode 100644 index 0000000..21fdd7d --- /dev/null +++ b/src/core.v @@ -0,0 +1,143 @@ +module CORE( + input clock, + +// UART + output tx_start, + output [7:0] tx_data, + +// Memory + output [31:0] raddr, + output [31:0] iaddr, + output wen, + output [31:0] wdata, + input [31:0] inst, + input [31:0] rdata +); + + reg [31:0] register [31:0]; + reg [31:0] REGISTER_TEST; + + reg [7:0] reg_tx_data; + reg reg_tx_start; + + reg [31:0] pc; + reg [31:0] pc_p4; + reg [31:0] reg_inst; + reg [31:0] reg_iaddr; + reg [31:0] reg_raddr; + reg [31:0] reg_wdata; + reg reg_wen; + + reg [6:0] opcode; + reg [4:0] rd; + reg [2:0] funct3; + reg [4:0] rs1; + reg [4:0] rs2; + reg [6:0] funct7; + + wire [31:0] rs1_data; + wire [31:0] rs2_data; + + reg [11:0] i_imm; + reg [31:0] i_imm_sext; + reg [11:0] s_imm; + reg [31:0] s_imm_sext; + reg [12:0] b_imm; + reg [31:0] u_imm; + reg [20:0] j_imm; + + reg [31:0] alu_out; + + localparam ST_IF = 0; + localparam ST_ID = 1; + localparam ST_EX = 2; + localparam ST_ACCESS = 3; + localparam ST_WB = 4; + reg [3:0] stage; + + integer i; + initial begin + for (i=0;i<32;i=i+1) register[i] <= 31'b0; + register[0] <= 32'b00000000000000000000000000000000; + register[1] <= 32'b00000000000000000000000000000000; + register[2] <= 32'b00000000000000000000000001000001; + + pc = 0; + reg_inst = 0; + reg_iaddr = 0; + reg_raddr = 0; + reg_wdata = 0; + reg_wen = 0; + alu_out = 0; + stage = ST_IF; + end + + always @(posedge clock) begin + case (stage) + ST_IF: begin + reg_tx_start <= 1; + reg_tx_data <= rdata[31:24]; + REGISTER_TEST <= register[1][31:0]; + reg_iaddr <= pc; + reg_inst <= inst; + pc_p4 <= pc + 4; + + stage <= ST_ID; + end + + ST_ID: begin + opcode = reg_inst[0+:7]; + rd = reg_inst[7+:5]; + funct3 = reg_inst[12+:3]; + rs1 <= reg_inst[19:15]; + rs2 <= reg_inst[24:20]; + funct7 = reg_inst[25+:7]; + + i_imm <= reg_inst[20+:12]; + i_imm_sext <= { {20{i_imm[11]}}, i_imm[10:0] }; + s_imm <= { reg_inst[31:25], reg_inst[11:7] }; + s_imm_sext <= { {20{s_imm[11]}}, s_imm[10:0] }; + b_imm = { reg_inst[25+:7], reg_inst[7+:5] }; + u_imm[31:12] = reg_inst[31:12]; + j_imm = {{12{reg_inst[31]}}, reg_inst[19:12], reg_inst[20], reg_inst[30:25], reg_inst[24:21], 1'b0}; + + stage <= ST_EX; + end + + ST_EX: begin + + alu_out = rs1_data + s_imm; + + stage <= ST_ACCESS; + end + + ST_ACCESS: begin + reg_raddr = alu_out; + reg_wen = opcode == 7'b0100011; + reg_wdata = rs2_data; + + stage <= ST_WB; + end + + ST_WB: begin + pc <= pc_p4; + reg_wen <= 0; + reg_raddr = 4; + + stage <= ST_IF; + end + endcase + end + + assign rs1_data = register[rs1]; + assign rs2_data = register[rs2]; + + assign tx_start = reg_tx_start; + assign tx_data = reg_tx_data; + + assign iaddr = reg_iaddr; + assign raddr = reg_raddr; + assign wdata = reg_wdata; + assign wen = reg_wen; + +endmodule \ No newline at end of file diff --git a/src/cpu.cst b/src/cpu.cst new file mode 100644 index 0000000..ebc1841 --- /dev/null +++ b/src/cpu.cst @@ -0,0 +1,14 @@ +//Copyright (C)2014-2022 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Physical Constraints file +//GOWIN Version: 1.9.8.09 Education +//Part Number: GW2A-LV18PG256C8/I7 +//Device: GW2A-18C +//Created Time: Mon 05 01 15:09:32 2023 + +IO_LOC "tx" M11; +IO_PORT "tx" PULL_MODE=UP DRIVE=8; +IO_LOC "LED" L14; +IO_PORT "LED" PULL_MODE=UP DRIVE=8; +IO_LOC "clock" H11; +IO_PORT "clock" PULL_MODE=UP; diff --git a/src/memory.v b/src/memory.v new file mode 100644 index 0000000..19262f0 --- /dev/null +++ b/src/memory.v @@ -0,0 +1,55 @@ +module MEMORY( + input clock, + + input [31:0] raddr, + input [31:0] iaddr, + input wen, + input [31:0] wdata, + + output [31:0] inst, + output [31:0] rdata +); + + reg [7:0] mem [512:0]; + + reg [31:0] reg_raddr; + + integer i; + initial begin + for (i=0;i<64;i=i+1) mem[i] <= 0; + mem[0] <= 8'b00000000; + mem[1] <= 8'b00100000; + mem[2] <= 8'b10100010; + mem[3] <= 8'b00100011; + + mem[0] <= 8'b00000000; + mem[1] <= 8'b00100000; + mem[2] <= 8'b10100010; + mem[3] <= 8'b00100011; + reg_raddr <= 32'b0; + end + + always @(posedge clock) begin + if (wen == 1'b1) begin + mem[raddr] = wdata[0 +:8]; + mem[raddr+1] = wdata[8 +:8]; + mem[raddr+2] = wdata[16 +:8]; + mem[raddr+3] = wdata[24 +:8]; + end + end + + assign inst = { + mem[iaddr+0], + mem[iaddr+1], + mem[iaddr+2], + mem[iaddr+3] + }; + + assign rdata = { + mem[raddr+0], + mem[raddr+1], + mem[raddr+2], + mem[raddr+3] + }; + +endmodule \ No newline at end of file diff --git a/src/simulate.bat b/src/simulate.bat new file mode 100644 index 0000000..5892e3b --- /dev/null +++ b/src/simulate.bat @@ -0,0 +1,3 @@ +iverilog -o tb_memory.o uart_tb.v top.v core.v memory.v uart.v +vvp tb_memory.o +gtkwave tb_memory.vcd \ No newline at end of file diff --git a/src/tb_memory.o b/src/tb_memory.o new file mode 100644 index 0000000..1574767 --- /dev/null +++ b/src/tb_memory.o @@ -0,0 +1,705 @@ +#! /c/Source/iverilog-install/bin/vvp +:ivl_version "12.0 (devel)" "(s20150603-1539-g2693dd32b)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision + 0; +:vpi_module "C:\iverilog\lib\ivl\system.vpi"; +:vpi_module "C:\iverilog\lib\ivl\vhdl_sys.vpi"; +:vpi_module "C:\iverilog\lib\ivl\vhdl_textio.vpi"; +:vpi_module "C:\iverilog\lib\ivl\v2005_math.vpi"; +:vpi_module "C:\iverilog\lib\ivl\va_math.vpi"; +S_0000019f8c6f34f0 .scope module, "memory_tb" "memory_tb" 2 1; + .timescale 0 0; +P_0000019f8c6eb620 .param/l "RATE" 0 2 3, +C4<00000000000000000000000000000001>; +v0000019f8c74e980_0 .net "LED", 0 0, L_0000019f8c6e4470; 1 drivers +v0000019f8c74ec00_0 .net "TX", 0 0, L_0000019f8c6e3980; 1 drivers +v0000019f8c74eca0_0 .var "clk", 0 0; +S_0000019f8c6c76a0 .scope module, "top" "TOP" 2 17, 3 1 0, S_0000019f8c6f34f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clock"; + .port_info 1 /OUTPUT 1 "LED"; + .port_info 2 /OUTPUT 1 "tx"; +v0000019f8c74eb60_0 .net "LED", 0 0, L_0000019f8c6e4470; alias, 1 drivers +v0000019f8c74d4e0_0 .net "clock", 0 0, v0000019f8c74eca0_0; 1 drivers +v0000019f8c74d940_0 .net "iaddr", 31 0, v0000019f8c74b390_0; 1 drivers +v0000019f8c74de40_0 .net "inst", 31 0, L_0000019f8c7b8af0; 1 drivers +v0000019f8c74d120_0 .net "raddr", 31 0, v0000019f8c74b7f0_0; 1 drivers +v0000019f8c74ee80_0 .net "rdata", 31 0, L_0000019f8c7b8230; 1 drivers +v0000019f8c74d9e0_0 .net "tx", 0 0, L_0000019f8c6e3980; alias, 1 drivers +v0000019f8c74e020_0 .net "tx_busy", 0 0, L_0000019f8c7b9270; 1 drivers +v0000019f8c74e8e0_0 .net "tx_data", 7 0, L_0000019f8c6e39f0; 1 drivers +v0000019f8c74e160_0 .net "tx_start", 0 0, L_0000019f8c6e3c90; 1 drivers +v0000019f8c74da80_0 .net "wdata", 31 0, L_0000019f8c6e3a60; 1 drivers +v0000019f8c74e2a0_0 .net "wen", 0 0, L_0000019f8c6e4400; 1 drivers +S_0000019f8c6c7830 .scope module, "core0" "CORE" 3 36, 4 1 0, S_0000019f8c6c76a0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clock"; + .port_info 1 /OUTPUT 1 "tx_start"; + .port_info 2 /OUTPUT 8 "tx_data"; + .port_info 3 /OUTPUT 32 "raddr"; + .port_info 4 /OUTPUT 32 "iaddr"; + .port_info 5 /OUTPUT 1 "wen"; + .port_info 6 /OUTPUT 32 "wdata"; + .port_info 7 /INPUT 32 "inst"; + .port_info 8 /INPUT 32 "rdata"; +P_0000019f8c6e6290 .param/l "ST_ACCESS" 1 4 54, +C4<00000000000000000000000000000011>; +P_0000019f8c6e62c8 .param/l "ST_EX" 1 4 53, +C4<00000000000000000000000000000010>; +P_0000019f8c6e6300 .param/l "ST_ID" 1 4 52, +C4<00000000000000000000000000000001>; +P_0000019f8c6e6338 .param/l "ST_IF" 1 4 51, +C4<00000000000000000000000000000000>; +P_0000019f8c6e6370 .param/l "ST_WB" 1 4 55, +C4<00000000000000000000000000000100>; +L_0000019f8c6e3bb0 .functor BUFZ 32, L_0000019f8c7b8a50, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0000019f8c6e3ec0 .functor BUFZ 32, L_0000019f8c7b8730, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0000019f8c6e3c90 .functor BUFZ 1, v0000019f8c74cf10_0, C4<0>, C4<0>, C4<0>; +L_0000019f8c6e39f0 .functor BUFZ 8, v0000019f8c74c790_0, C4<00000000>, C4<00000000>, C4<00000000>; +L_0000019f8c6e3a60 .functor BUFZ 32, v0000019f8c74ba70_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0000019f8c6e4400 .functor BUFZ 1, v0000019f8c74b4d0_0, C4<0>, C4<0>, C4<0>; +v0000019f8c6df580_0 .var "REGISTER_TEST", 31 0; +v0000019f8c6de860_0 .net *"_ivl_0", 31 0, L_0000019f8c7b8a50; 1 drivers +v0000019f8c6def40_0 .net *"_ivl_10", 6 0, L_0000019f8c7b8550; 1 drivers +L_0000019f8c7603e8 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0000019f8c6de900_0 .net *"_ivl_13", 1 0, L_0000019f8c7603e8; 1 drivers +v0000019f8c6deea0_0 .net *"_ivl_2", 6 0, L_0000019f8c7b9a90; 1 drivers +L_0000019f8c7603a0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0000019f8c6decc0_0 .net *"_ivl_5", 1 0, L_0000019f8c7603a0; 1 drivers +v0000019f8c6df620_0 .net *"_ivl_8", 31 0, L_0000019f8c7b8730; 1 drivers +v0000019f8c6df080_0 .var "alu_out", 31 0; +v0000019f8c6de9a0_0 .var "b_imm", 12 0; +v0000019f8c6deb80_0 .net "clock", 0 0, v0000019f8c74eca0_0; alias, 1 drivers +v0000019f8c6dea40_0 .var "funct3", 2 0; +v0000019f8c6dec20_0 .var "funct7", 6 0; +v0000019f8c74b9d0_0 .var/i "i", 31 0; +v0000019f8c74b250_0 .var "i_imm", 11 0; +v0000019f8c74c330_0 .var "i_imm_sext", 31 0; +v0000019f8c74c6f0_0 .net "iaddr", 31 0, v0000019f8c74b390_0; alias, 1 drivers +v0000019f8c74b6b0_0 .net "inst", 31 0, L_0000019f8c7b8af0; alias, 1 drivers +v0000019f8c74b070_0 .var "j_imm", 20 0; +v0000019f8c74cbf0_0 .var "opcode", 6 0; +v0000019f8c74cc90_0 .var "pc", 31 0; +v0000019f8c74cdd0_0 .var "pc_p4", 31 0; +v0000019f8c74ca10_0 .net "raddr", 31 0, v0000019f8c74b7f0_0; alias, 1 drivers +v0000019f8c74b430_0 .var "rd", 4 0; +v0000019f8c74ce70_0 .net "rdata", 31 0, L_0000019f8c7b8230; alias, 1 drivers +v0000019f8c74b390_0 .var "reg_iaddr", 31 0; +v0000019f8c74c5b0_0 .var "reg_inst", 31 0; +v0000019f8c74b7f0_0 .var "reg_raddr", 31 0; +v0000019f8c74c790_0 .var "reg_tx_data", 7 0; +v0000019f8c74cf10_0 .var "reg_tx_start", 0 0; +v0000019f8c74ba70_0 .var "reg_wdata", 31 0; +v0000019f8c74b4d0_0 .var "reg_wen", 0 0; +v0000019f8c74b750 .array "register", 0 31, 31 0; +v0000019f8c74cab0_0 .var "rs1", 4 0; +v0000019f8c74bf70_0 .net "rs1_data", 31 0, L_0000019f8c6e3bb0; 1 drivers +v0000019f8c74bcf0_0 .var "rs2", 4 0; +v0000019f8c74be30_0 .net "rs2_data", 31 0, L_0000019f8c6e3ec0; 1 drivers +v0000019f8c74c510_0 .var "s_imm", 11 0; +v0000019f8c74c830_0 .var "s_imm_sext", 31 0; +v0000019f8c74b890_0 .var "stage", 3 0; +v0000019f8c74b930_0 .net "tx_data", 7 0, L_0000019f8c6e39f0; alias, 1 drivers +v0000019f8c74bb10_0 .net "tx_start", 0 0, L_0000019f8c6e3c90; alias, 1 drivers +v0000019f8c74c8d0_0 .var "u_imm", 31 0; +v0000019f8c74c970_0 .net "wdata", 31 0, L_0000019f8c6e3a60; alias, 1 drivers +v0000019f8c74c0b0_0 .net "wen", 0 0, L_0000019f8c6e4400; alias, 1 drivers +E_0000019f8c6ebb20 .event posedge, v0000019f8c6deb80_0; +L_0000019f8c7b8a50 .array/port v0000019f8c74b750, L_0000019f8c7b9a90; +L_0000019f8c7b9a90 .concat [ 5 2 0 0], v0000019f8c74cab0_0, L_0000019f8c7603a0; +L_0000019f8c7b8730 .array/port v0000019f8c74b750, L_0000019f8c7b8550; +L_0000019f8c7b8550 .concat [ 5 2 0 0], v0000019f8c74bcf0_0, L_0000019f8c7603e8; +S_0000019f8c6a6d00 .scope module, "mem0" "MEMORY" 3 26, 5 1 0, S_0000019f8c6c76a0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clock"; + .port_info 1 /INPUT 32 "raddr"; + .port_info 2 /INPUT 32 "iaddr"; + .port_info 3 /INPUT 1 "wen"; + .port_info 4 /INPUT 32 "wdata"; + .port_info 5 /OUTPUT 32 "inst"; + .port_info 6 /OUTPUT 32 "rdata"; +v0000019f8c74bed0_0 .net *"_ivl_0", 7 0, L_0000019f8c7b8d70; 1 drivers +v0000019f8c74bd90_0 .net *"_ivl_10", 31 0, L_0000019f8c7b96d0; 1 drivers +v0000019f8c74cb50_0 .net *"_ivl_12", 7 0, L_0000019f8c7b8870; 1 drivers +L_0000019f8c7601f0 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>; +v0000019f8c74cd30_0 .net/2u *"_ivl_14", 31 0, L_0000019f8c7601f0; 1 drivers +v0000019f8c74b110_0 .net *"_ivl_16", 31 0, L_0000019f8c7b9770; 1 drivers +v0000019f8c74c010_0 .net *"_ivl_18", 7 0, L_0000019f8c7b9450; 1 drivers +L_0000019f8c760160 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0000019f8c74bbb0_0 .net/2u *"_ivl_2", 31 0, L_0000019f8c760160; 1 drivers +L_0000019f8c760238 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>; +v0000019f8c74c150_0 .net/2u *"_ivl_20", 31 0, L_0000019f8c760238; 1 drivers +v0000019f8c74b1b0_0 .net *"_ivl_22", 31 0, L_0000019f8c7b9b30; 1 drivers +v0000019f8c74c1f0_0 .net *"_ivl_26", 7 0, L_0000019f8c7b98b0; 1 drivers +L_0000019f8c760280 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0000019f8c74b2f0_0 .net/2u *"_ivl_28", 31 0, L_0000019f8c760280; 1 drivers +v0000019f8c74c290_0 .net *"_ivl_30", 31 0, L_0000019f8c7b93b0; 1 drivers +v0000019f8c74b570_0 .net *"_ivl_32", 7 0, L_0000019f8c7b87d0; 1 drivers +L_0000019f8c7602c8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>; +v0000019f8c74bc50_0 .net/2u *"_ivl_34", 31 0, L_0000019f8c7602c8; 1 drivers +v0000019f8c74b610_0 .net *"_ivl_36", 31 0, L_0000019f8c7b8b90; 1 drivers +v0000019f8c74c3d0_0 .net *"_ivl_38", 7 0, L_0000019f8c7b9810; 1 drivers +v0000019f8c74c470_0 .net *"_ivl_4", 31 0, L_0000019f8c7b9c70; 1 drivers +L_0000019f8c760310 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>; +v0000019f8c74c650_0 .net/2u *"_ivl_40", 31 0, L_0000019f8c760310; 1 drivers +v0000019f8c74d260_0 .net *"_ivl_42", 31 0, L_0000019f8c7b89b0; 1 drivers +v0000019f8c74eac0_0 .net *"_ivl_44", 7 0, L_0000019f8c7b91d0; 1 drivers +L_0000019f8c760358 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>; +v0000019f8c74d6c0_0 .net/2u *"_ivl_46", 31 0, L_0000019f8c760358; 1 drivers +v0000019f8c74d800_0 .net *"_ivl_48", 31 0, L_0000019f8c7b8190; 1 drivers +v0000019f8c74dee0_0 .net *"_ivl_6", 7 0, L_0000019f8c7b8e10; 1 drivers +L_0000019f8c7601a8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>; +v0000019f8c74db20_0 .net/2u *"_ivl_8", 31 0, L_0000019f8c7601a8; 1 drivers +v0000019f8c74e480_0 .net "clock", 0 0, v0000019f8c74eca0_0; alias, 1 drivers +v0000019f8c74ede0_0 .var/i "i", 31 0; +v0000019f8c74e200_0 .net "iaddr", 31 0, v0000019f8c74b390_0; alias, 1 drivers +v0000019f8c74d3a0_0 .net "inst", 31 0, L_0000019f8c7b8af0; alias, 1 drivers +v0000019f8c74ed40 .array "mem", 0 64, 7 0; +v0000019f8c74e520_0 .net "raddr", 31 0, v0000019f8c74b7f0_0; alias, 1 drivers +v0000019f8c74dbc0_0 .net "rdata", 31 0, L_0000019f8c7b8230; alias, 1 drivers +v0000019f8c74d580_0 .var "reg_raddr", 31 0; +v0000019f8c74dc60_0 .net "wdata", 31 0, L_0000019f8c6e3a60; alias, 1 drivers +v0000019f8c74dd00_0 .net "wen", 0 0, L_0000019f8c6e4400; alias, 1 drivers +L_0000019f8c7b8d70 .array/port v0000019f8c74ed40, L_0000019f8c7b9c70; +L_0000019f8c7b9c70 .arith/sum 32, v0000019f8c74b390_0, L_0000019f8c760160; +L_0000019f8c7b8e10 .array/port v0000019f8c74ed40, L_0000019f8c7b96d0; +L_0000019f8c7b96d0 .arith/sum 32, v0000019f8c74b390_0, L_0000019f8c7601a8; +L_0000019f8c7b8870 .array/port v0000019f8c74ed40, L_0000019f8c7b9770; +L_0000019f8c7b9770 .arith/sum 32, v0000019f8c74b390_0, L_0000019f8c7601f0; +L_0000019f8c7b9450 .array/port v0000019f8c74ed40, L_0000019f8c7b9b30; +L_0000019f8c7b9b30 .arith/sum 32, v0000019f8c74b390_0, L_0000019f8c760238; +L_0000019f8c7b8af0 .concat [ 8 8 8 8], L_0000019f8c7b9450, L_0000019f8c7b8870, L_0000019f8c7b8e10, L_0000019f8c7b8d70; +L_0000019f8c7b98b0 .array/port v0000019f8c74ed40, L_0000019f8c7b93b0; +L_0000019f8c7b93b0 .arith/sum 32, v0000019f8c74b7f0_0, L_0000019f8c760280; +L_0000019f8c7b87d0 .array/port v0000019f8c74ed40, L_0000019f8c7b8b90; +L_0000019f8c7b8b90 .arith/sum 32, v0000019f8c74b7f0_0, L_0000019f8c7602c8; +L_0000019f8c7b9810 .array/port v0000019f8c74ed40, L_0000019f8c7b89b0; +L_0000019f8c7b89b0 .arith/sum 32, v0000019f8c74b7f0_0, L_0000019f8c760310; +L_0000019f8c7b91d0 .array/port v0000019f8c74ed40, L_0000019f8c7b8190; +L_0000019f8c7b8190 .arith/sum 32, v0000019f8c74b7f0_0, L_0000019f8c760358; +L_0000019f8c7b8230 .concat [ 8 8 8 8], L_0000019f8c7b91d0, L_0000019f8c7b9810, L_0000019f8c7b87d0, L_0000019f8c7b98b0; +S_0000019f8c6bf0e0 .scope module, "uart0" "UART" 3 11, 6 1 0, S_0000019f8c6c76a0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clock"; + .port_info 1 /INPUT 8 "data_in"; + .port_info 2 /INPUT 1 "start"; + .port_info 3 /OUTPUT 1 "tx_busy"; + .port_info 4 /OUTPUT 1 "tx"; + .port_info 5 /OUTPUT 1 "LED"; +P_0000019f8c6a6fd0 .param/l "FPGA_FREQ" 1 6 13, +C4<00000000000000000000000000011011>; +P_0000019f8c6a7008 .param/l "S_END" 1 6 43, +C4<00000000000000000000000000000100>; +P_0000019f8c6a7040 .param/l "S_IDLE" 1 6 39, +C4<00000000000000000000000000000000>; +P_0000019f8c6a7078 .param/l "S_P" 1 6 42, +C4<00000000000000000000000000000011>; +P_0000019f8c6a70b0 .param/l "S_SEND" 1 6 41, +C4<00000000000000000000000000000010>; +P_0000019f8c6a70e8 .param/l "S_START" 1 6 40, +C4<00000000000000000000000000000001>; +P_0000019f8c6a7120 .param/l "TX_CLOCK_COUNT_MAX" 1 6 15, +C4<00000000000000000000000000000000000000000000000000000000011101001>; +P_0000019f8c6a7158 .param/l "UART_FREQ" 1 6 14, +C4<00000000000000011100001000000000>; +L_0000019f8c6e4470 .functor BUFZ 1, v0000019f8c74d8a0_0, C4<0>, C4<0>, C4<0>; +L_0000019f8c6e3980 .functor BUFZ 1, v0000019f8c74d440_0, C4<0>, C4<0>, C4<0>; +v0000019f8c74e5c0_0 .net "LED", 0 0, L_0000019f8c6e4470; alias, 1 drivers +L_0000019f8c7600d0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0000019f8c74df80_0 .net *"_ivl_11", 26 0, L_0000019f8c7600d0; 1 drivers +L_0000019f8c760118 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0000019f8c74d300_0 .net/2u *"_ivl_12", 31 0, L_0000019f8c760118; 1 drivers +L_0000019f8c760088 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0000019f8c74e0c0_0 .net/2u *"_ivl_2", 31 0, L_0000019f8c760088; 1 drivers +v0000019f8c74e7a0_0 .net *"_ivl_8", 31 0, L_0000019f8c7b9130; 1 drivers +v0000019f8c74ea20_0 .net "clock", 0 0, v0000019f8c74eca0_0; alias, 1 drivers +v0000019f8c74e660_0 .var "clock_count", 31 0; +v0000019f8c74e700_0 .var "data", 7 0; +v0000019f8c74e840_0 .net "data_in", 7 0, L_0000019f8c6e39f0; alias, 1 drivers +v0000019f8c74d8a0_0 .var "led_flag", 0 0; +v0000019f8c74d620_0 .var "local_in", 7 0; +v0000019f8c74d080_0 .var "local_start", 0 0; +v0000019f8c74d1c0_0 .var "send_count", 3 0; +v0000019f8c74d760_0 .net "start", 0 0, L_0000019f8c6e3c90; alias, 1 drivers +v0000019f8c74e340_0 .var "state", 4 0; +v0000019f8c74e3e0_0 .net "tx", 0 0, L_0000019f8c6e3980; alias, 1 drivers +v0000019f8c74ef20_0 .net "tx_busy", 0 0, L_0000019f8c7b9270; alias, 1 drivers +v0000019f8c74dda0_0 .net "tx_clock", 0 0, L_0000019f8c7b99f0; 1 drivers +v0000019f8c74d440_0 .var "tx_reg", 0 0; +L_0000019f8c7b99f0 .cmp/eq 32, v0000019f8c74e660_0, L_0000019f8c760088; +L_0000019f8c7b9130 .concat [ 5 27 0 0], v0000019f8c74e340_0, L_0000019f8c7600d0; +L_0000019f8c7b9270 .cmp/ne 32, L_0000019f8c7b9130, L_0000019f8c760118; + .scope S_0000019f8c6bf0e0; +T_0 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74e660_0, 0, 32; + %end; + .thread T_0; + .scope S_0000019f8c6bf0e0; +T_1 ; + %wait E_0000019f8c6ebb20; + %load/vec4 v0000019f8c74e660_0; + %pad/u 65; + %cmpi/e 233, 0, 65; + %jmp/0xz T_1.0, 4; + %pushi/vec4 0, 0, 32; + %assign/vec4 v0000019f8c74e660_0, 0; + %load/vec4 v0000019f8c74d8a0_0; + %inv; + %assign/vec4 v0000019f8c74d8a0_0, 0; + %jmp T_1.1; +T_1.0 ; + %load/vec4 v0000019f8c74e660_0; + %addi 1, 0, 32; + %assign/vec4 v0000019f8c74e660_0, 0; +T_1.1 ; + %jmp T_1; + .thread T_1; + .scope S_0000019f8c6bf0e0; +T_2 ; + %wait E_0000019f8c6ebb20; + %load/vec4 v0000019f8c74e840_0; + %assign/vec4 v0000019f8c74d620_0, 0; + %load/vec4 v0000019f8c74d760_0; + %assign/vec4 v0000019f8c74d080_0, 0; + %jmp T_2; + .thread T_2; + .scope S_0000019f8c6bf0e0; +T_3 ; + %pushi/vec4 0, 0, 5; + %store/vec4 v0000019f8c74e340_0, 0, 5; + %pushi/vec4 0, 0, 4; + %store/vec4 v0000019f8c74d1c0_0, 0, 4; + %pushi/vec4 1, 0, 1; + %store/vec4 v0000019f8c74d440_0, 0, 1; + %end; + .thread T_3; + .scope S_0000019f8c6bf0e0; +T_4 ; + %wait E_0000019f8c6ebb20; + %load/vec4 v0000019f8c74e340_0; + %dup/vec4; + %pushi/vec4 0, 0, 5; + %cmp/u; + %jmp/1 T_4.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 5; + %cmp/u; + %jmp/1 T_4.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 5; + %cmp/u; + %jmp/1 T_4.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 5; + %cmp/u; + %jmp/1 T_4.3, 6; + %dup/vec4; + %pushi/vec4 4, 0, 5; + %cmp/u; + %jmp/1 T_4.4, 6; + %jmp T_4.5; +T_4.0 ; + %load/vec4 v0000019f8c74dda0_0; + %flag_set/vec4 8; + %jmp/0xz T_4.6, 8; + %load/vec4 v0000019f8c74d080_0; + %flag_set/vec4 8; + %jmp/0xz T_4.8, 8; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 1, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; + %jmp T_4.9; +T_4.8 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 0, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.9 ; +T_4.6 ; + %jmp T_4.5; +T_4.1 ; + %load/vec4 v0000019f8c74dda0_0; + %flag_set/vec4 8; + %jmp/0xz T_4.10, 8; + %pushi/vec4 0, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %load/vec4 v0000019f8c74d620_0; + %assign/vec4 v0000019f8c74e700_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v0000019f8c74d1c0_0, 0; + %pushi/vec4 2, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; + %jmp T_4.11; +T_4.10 ; + %pushi/vec4 0, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 1, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.11 ; + %jmp T_4.5; +T_4.2 ; + %load/vec4 v0000019f8c74dda0_0; + %flag_set/vec4 8; + %jmp/0xz T_4.12, 8; + %load/vec4 v0000019f8c74e700_0; + %load/vec4 v0000019f8c74d1c0_0; + %part/u 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %load/vec4 v0000019f8c74d1c0_0; + %cmpi/e 7, 0, 4; + %jmp/0xz T_4.14, 4; + %pushi/vec4 3, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; + %jmp T_4.15; +T_4.14 ; + %load/vec4 v0000019f8c74d1c0_0; + %addi 1, 0, 4; + %assign/vec4 v0000019f8c74d1c0_0, 0; + %pushi/vec4 2, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.15 ; + %jmp T_4.13; +T_4.12 ; + %load/vec4 v0000019f8c74e700_0; + %load/vec4 v0000019f8c74d1c0_0; + %part/u 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 2, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.13 ; + %jmp T_4.5; +T_4.3 ; + %load/vec4 v0000019f8c74dda0_0; + %flag_set/vec4 8; + %jmp/0xz T_4.16, 8; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 4, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; + %jmp T_4.17; +T_4.16 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 3, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.17 ; + %jmp T_4.5; +T_4.4 ; + %load/vec4 v0000019f8c74dda0_0; + %flag_set/vec4 8; + %jmp/0xz T_4.18, 8; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 0, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; + %jmp T_4.19; +T_4.18 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74d440_0, 0; + %pushi/vec4 4, 0, 5; + %assign/vec4 v0000019f8c74e340_0, 0; +T_4.19 ; + %jmp T_4.5; +T_4.5 ; + %pop/vec4 1; + %jmp T_4; + .thread T_4; + .scope S_0000019f8c6a6d00; +T_5 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74ede0_0, 0, 32; +T_5.0 ; + %load/vec4 v0000019f8c74ede0_0; + %cmpi/s 64, 0, 32; + %jmp/0xz T_5.1, 5; + %pushi/vec4 0, 0, 8; + %ix/getv/s 3, v0000019f8c74ede0_0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74ed40, 0, 4; + %load/vec4 v0000019f8c74ede0_0; + %addi 1, 0, 32; + %store/vec4 v0000019f8c74ede0_0, 0, 32; + %jmp T_5.0; +T_5.1 ; + %pushi/vec4 0, 0, 8; + %ix/load 3, 0, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74ed40, 0, 4; + %pushi/vec4 32, 0, 8; + %ix/load 3, 1, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74ed40, 0, 4; + %pushi/vec4 162, 0, 8; + %ix/load 3, 2, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74ed40, 0, 4; + %pushi/vec4 35, 0, 8; + %ix/load 3, 3, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74ed40, 0, 4; + %pushi/vec4 0, 0, 32; + %assign/vec4 v0000019f8c74d580_0, 0; + %end; + .thread T_5; + .scope S_0000019f8c6a6d00; +T_6 ; + %wait E_0000019f8c6ebb20; + %load/vec4 v0000019f8c74dd00_0; + %cmpi/e 1, 0, 1; + %jmp/0xz T_6.0, 4; + %load/vec4 v0000019f8c74dc60_0; + %parti/s 8, 0, 2; + %ix/getv 4, v0000019f8c74e520_0; + %store/vec4a v0000019f8c74ed40, 4, 0; + %load/vec4 v0000019f8c74dc60_0; + %parti/s 8, 8, 5; + %load/vec4 v0000019f8c74e520_0; + %addi 1, 0, 32; + %ix/vec4 4; + %store/vec4a v0000019f8c74ed40, 4, 0; + %load/vec4 v0000019f8c74dc60_0; + %parti/s 8, 16, 6; + %load/vec4 v0000019f8c74e520_0; + %addi 2, 0, 32; + %ix/vec4 4; + %store/vec4a v0000019f8c74ed40, 4, 0; + %load/vec4 v0000019f8c74dc60_0; + %parti/s 8, 24, 6; + %load/vec4 v0000019f8c74e520_0; + %addi 3, 0, 32; + %ix/vec4 4; + %store/vec4a v0000019f8c74ed40, 4, 0; +T_6.0 ; + %jmp T_6; + .thread T_6; + .scope S_0000019f8c6c7830; +T_7 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74b9d0_0, 0, 32; +T_7.0 ; + %load/vec4 v0000019f8c74b9d0_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_7.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 3, v0000019f8c74b9d0_0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74b750, 0, 4; + %load/vec4 v0000019f8c74b9d0_0; + %addi 1, 0, 32; + %store/vec4 v0000019f8c74b9d0_0, 0, 32; + %jmp T_7.0; +T_7.1 ; + %pushi/vec4 0, 0, 32; + %ix/load 3, 0, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74b750, 0, 4; + %pushi/vec4 0, 0, 32; + %ix/load 3, 1, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74b750, 0, 4; + %pushi/vec4 65, 0, 32; + %ix/load 3, 2, 0; + %flag_set/imm 4, 0; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0000019f8c74b750, 0, 4; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74cc90_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74c5b0_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74b390_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74b7f0_0, 0, 32; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c74ba70_0, 0, 32; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000019f8c74b4d0_0, 0, 1; + %pushi/vec4 0, 0, 32; + %store/vec4 v0000019f8c6df080_0, 0, 32; + %pushi/vec4 0, 0, 4; + %store/vec4 v0000019f8c74b890_0, 0, 4; + %end; + .thread T_7; + .scope S_0000019f8c6c7830; +T_8 ; + %wait E_0000019f8c6ebb20; + %load/vec4 v0000019f8c74b890_0; + %dup/vec4; + %pushi/vec4 0, 0, 4; + %cmp/u; + %jmp/1 T_8.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 4; + %cmp/u; + %jmp/1 T_8.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 4; + %cmp/u; + %jmp/1 T_8.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 4; + %cmp/u; + %jmp/1 T_8.3, 6; + %dup/vec4; + %pushi/vec4 4, 0, 4; + %cmp/u; + %jmp/1 T_8.4, 6; + %jmp T_8.5; +T_8.0 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v0000019f8c74cf10_0, 0; + %load/vec4 v0000019f8c74ce70_0; + %parti/s 8, 24, 6; + %assign/vec4 v0000019f8c74c790_0, 0; + %ix/load 4, 1, 0; + %flag_set/imm 4, 0; + %load/vec4a v0000019f8c74b750, 4; + %assign/vec4 v0000019f8c6df580_0, 0; + %load/vec4 v0000019f8c74cc90_0; + %assign/vec4 v0000019f8c74b390_0, 0; + %load/vec4 v0000019f8c74b6b0_0; + %assign/vec4 v0000019f8c74c5b0_0, 0; + %load/vec4 v0000019f8c74cc90_0; + %addi 4, 0, 32; + %assign/vec4 v0000019f8c74cdd0_0, 0; + %pushi/vec4 1, 0, 4; + %assign/vec4 v0000019f8c74b890_0, 0; + %jmp T_8.5; +T_8.1 ; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 7, 0, 2; + %store/vec4 v0000019f8c74cbf0_0, 0, 7; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 5, 7, 4; + %store/vec4 v0000019f8c74b430_0, 0, 5; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 3, 12, 5; + %store/vec4 v0000019f8c6dea40_0, 0, 3; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 5, 15, 5; + %assign/vec4 v0000019f8c74cab0_0, 0; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 5, 20, 6; + %assign/vec4 v0000019f8c74bcf0_0, 0; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 7, 25, 6; + %store/vec4 v0000019f8c6dec20_0, 0, 7; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 12, 20, 6; + %assign/vec4 v0000019f8c74b250_0, 0; + %load/vec4 v0000019f8c74b250_0; + %parti/s 1, 11, 5; + %replicate 20; + %load/vec4 v0000019f8c74b250_0; + %parti/s 11, 0, 2; + %concat/vec4; draw_concat_vec4 + %pad/u 32; + %assign/vec4 v0000019f8c74c330_0, 0; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 7, 25, 6; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 5, 7, 4; + %concat/vec4; draw_concat_vec4 + %assign/vec4 v0000019f8c74c510_0, 0; + %load/vec4 v0000019f8c74c510_0; + %parti/s 1, 11, 5; + %replicate 20; + %load/vec4 v0000019f8c74c510_0; + %parti/s 11, 0, 2; + %concat/vec4; draw_concat_vec4 + %pad/u 32; + %assign/vec4 v0000019f8c74c830_0, 0; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 7, 25, 6; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 5, 7, 4; + %concat/vec4; draw_concat_vec4 + %pad/u 13; + %store/vec4 v0000019f8c6de9a0_0, 0, 13; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 20, 12, 5; + %ix/load 4, 12, 0; + %flag_set/imm 4, 0; + %store/vec4 v0000019f8c74c8d0_0, 4, 20; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 1, 31, 6; + %replicate 12; + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 8, 12, 5; + %concat/vec4; draw_concat_vec4 + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 1, 20, 6; + %concat/vec4; draw_concat_vec4 + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 6, 25, 6; + %concat/vec4; draw_concat_vec4 + %load/vec4 v0000019f8c74c5b0_0; + %parti/s 4, 21, 6; + %concat/vec4; draw_concat_vec4 + %concati/vec4 0, 0, 1; + %pad/u 21; + %store/vec4 v0000019f8c74b070_0, 0, 21; + %pushi/vec4 2, 0, 4; + %assign/vec4 v0000019f8c74b890_0, 0; + %jmp T_8.5; +T_8.2 ; + %load/vec4 v0000019f8c74bf70_0; + %load/vec4 v0000019f8c74c510_0; + %pad/u 32; + %add; + %store/vec4 v0000019f8c6df080_0, 0, 32; + %pushi/vec4 3, 0, 4; + %assign/vec4 v0000019f8c74b890_0, 0; + %jmp T_8.5; +T_8.3 ; + %load/vec4 v0000019f8c6df080_0; + %store/vec4 v0000019f8c74b7f0_0, 0, 32; + %load/vec4 v0000019f8c74cbf0_0; + %pushi/vec4 35, 0, 7; + %cmp/e; + %flag_get/vec4 4; + %store/vec4 v0000019f8c74b4d0_0, 0, 1; + %load/vec4 v0000019f8c74be30_0; + %store/vec4 v0000019f8c74ba70_0, 0, 32; + %pushi/vec4 4, 0, 4; + %assign/vec4 v0000019f8c74b890_0, 0; + %jmp T_8.5; +T_8.4 ; + %load/vec4 v0000019f8c74cdd0_0; + %assign/vec4 v0000019f8c74cc90_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v0000019f8c74b4d0_0, 0; + %pushi/vec4 4, 0, 32; + %store/vec4 v0000019f8c74b7f0_0, 0, 32; + %pushi/vec4 0, 0, 4; + %assign/vec4 v0000019f8c74b890_0, 0; + %jmp T_8.5; +T_8.5 ; + %pop/vec4 1; + %jmp T_8; + .thread T_8; + .scope S_0000019f8c6f34f0; +T_9 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v0000019f8c74eca0_0, 0, 1; + %end; + .thread T_9; + .scope S_0000019f8c6f34f0; +T_10 ; + %vpi_call 2 6 "$dumpfile", "tb_memory.vcd" {0 0 0}; + %vpi_call 2 7 "$dumpvars", 32'sb00000000000000000000000000000011, S_0000019f8c6f34f0 {0 0 0}; + %delay 10000, 0; + %vpi_call 2 9 "$finish" {0 0 0}; + %end; + .thread T_10; + .scope S_0000019f8c6f34f0; +T_11 ; + %delay 1, 0; + %load/vec4 v0000019f8c74eca0_0; + %nor/r; + %store/vec4 v0000019f8c74eca0_0, 0, 1; + %jmp T_11; + .thread T_11; +# The file index is used to find the file name in the following table. +:file_names 7; + "N/A"; + ""; + "uart_tb.v"; + "top.v"; + "core.v"; + "memory.v"; + "uart.v"; diff --git a/src/tb_memory.vcd b/src/tb_memory.vcd new file mode 100644 index 0000000..dc145de --- /dev/null +++ b/src/tb_memory.vcd @@ -0,0 +1,54300 @@ +$date + Thu May 18 09:13:37 2023 +$end +$version + Icarus Verilog +$end +$timescale + 1s +$end +$scope module memory_tb $end +$var wire 1 ! TX $end +$var wire 1 " LED $end +$var parameter 32 # RATE $end +$var reg 1 $ clk $end +$scope module top $end +$var wire 1 $ clock $end +$var wire 1 % wen $end +$var wire 32 & wdata [31:0] $end +$var wire 1 ' tx_start $end +$var wire 8 ( tx_data [7:0] $end +$var wire 1 ) tx_busy $end +$var wire 1 ! tx $end +$var wire 32 * rdata [31:0] $end +$var wire 32 + raddr [31:0] $end +$var wire 32 , inst [31:0] $end +$var wire 32 - iaddr [31:0] $end +$var wire 1 " LED $end +$scope module core0 $end +$var wire 1 $ clock $end +$var wire 32 . rs1_data [31:0] $end +$var wire 32 / rs2_data [31:0] $end +$var wire 8 0 tx_data [7:0] $end +$var wire 1 ' tx_start $end +$var wire 32 1 wdata [31:0] $end +$var wire 1 % wen $end +$var wire 32 2 rdata [31:0] $end +$var wire 32 3 raddr [31:0] $end +$var wire 32 4 inst [31:0] $end +$var wire 32 5 iaddr [31:0] $end +$var parameter 32 6 ST_ACCESS $end +$var parameter 32 7 ST_EX $end +$var parameter 32 8 ST_ID $end +$var parameter 32 9 ST_IF $end +$var parameter 32 : ST_WB $end +$var reg 32 ; REGISTER_TEST [31:0] $end +$var reg 32 < alu_out [31:0] $end +$var reg 13 = b_imm [12:0] $end +$var reg 3 > funct3 [2:0] $end +$var reg 7 ? funct7 [6:0] $end +$var reg 12 @ i_imm [11:0] $end +$var reg 32 A i_imm_sext [31:0] $end +$var reg 21 B j_imm [20:0] $end +$var reg 7 C opcode [6:0] $end +$var reg 32 D pc [31:0] $end +$var reg 32 E pc_p4 [31:0] $end +$var reg 5 F rd [4:0] $end +$var reg 32 G reg_iaddr [31:0] $end +$var reg 32 H reg_inst [31:0] $end +$var reg 32 I reg_raddr [31:0] $end +$var reg 8 J reg_tx_data [7:0] $end +$var reg 1 K reg_tx_start $end +$var reg 32 L reg_wdata [31:0] $end +$var reg 1 M reg_wen $end +$var reg 5 N rs1 [4:0] $end +$var reg 5 O rs2 [4:0] $end +$var reg 12 P s_imm [11:0] $end +$var reg 32 Q s_imm_sext [31:0] $end +$var reg 4 R stage [3:0] $end +$var reg 32 S u_imm [31:0] $end +$var integer 32 T i [31:0] $end +$upscope $end +$scope module mem0 $end +$var wire 1 $ clock $end +$var wire 32 U iaddr [31:0] $end +$var wire 32 V raddr [31:0] $end +$var wire 32 W wdata [31:0] $end +$var wire 1 % wen $end +$var wire 32 X rdata [31:0] $end +$var wire 32 Y inst [31:0] $end +$var reg 32 Z reg_raddr [31:0] $end +$var integer 32 [ i [31:0] $end +$upscope $end +$scope module uart0 $end +$var wire 1 " LED $end +$var wire 1 $ clock $end +$var wire 8 \ data_in [7:0] $end +$var wire 1 ' start $end +$var wire 1 ! tx $end +$var wire 1 ] tx_clock $end +$var wire 1 ) tx_busy $end +$var parameter 32 ^ FPGA_FREQ $end +$var parameter 32 _ S_END $end +$var parameter 32 ` S_IDLE $end +$var parameter 32 a S_P $end +$var parameter 32 b S_SEND $end +$var parameter 32 c S_START $end +$var parameter 65 d TX_CLOCK_COUNT_MAX $end +$var parameter 32 e UART_FREQ $end +$var reg 32 f clock_count [31:0] $end +$var reg 8 g data [7:0] $end +$var reg 1 h led_flag $end +$var reg 8 i local_in [7:0] $end +$var reg 1 j local_start $end +$var reg 4 k send_count [3:0] $end +$var reg 5 l state [4:0] $end +$var reg 1 m tx_reg $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +$comment Show the parameter values. $end +$dumpall +b11100001000000000 e +b11101001 d +b1 c +b10 b +b11 a +b0 ` +b100 _ +b11011 ^ +b100 : +b0 9 +b1 8 +b10 7 +b11 6 +b1 # +$end +#0 +$dumpvars +1m +b0 l +b0 k +xj +bx i +xh +bx g +b0 f +1] +bx \ +b1000000 [ +b0 Z +b1000001010001000100011 Y +b1000001010001000100011 X +b0 W +b0 V +b0 U +b100000 T +bx S +b0 R +bx Q +bx P +bx O +bx N +0M +b0 L +xK +bx J +b0 I +b0 H +b0 G +bx F +bx E +b0 D +bx C +bx B +bx A +bx @ +bx ? +bx > +bx = +b0 < +bx ; +b0 5 +b1000001010001000100011 4 +b0 3 +b1000001010001000100011 2 +b0 1 +bx 0 +bx / +bx . +b0 - +b1000001010001000100011 , +b0 + +b1000001010001000100011 * +0) +bx ( +x' +b0 & +0% +0$ +x" +1! +$end +#1 +0] +b1 f +b1 R +b100 E +b1000001010001000100011 H +b0 ; +b0 ( +b0 0 +b0 \ +b0 J +1' +1K +1$ +#2 +0$ +#3 +b10 R +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Q +b100 P +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A +b10 @ +b1000001 / +b10 O +b0 . +b1 N +1j +b0 i +b10 f +b1010000000000010 B +b1000001010xxxxxxxxxxxx S +b100 = +b0 ? +b10 > +b100 F +b100011 C +1$ +#4 +0$ +#5 +b11 f +b11 R +b100 < +1$ +#6 +0$ +#7 +b100 R +b100 f +b1000001 & +b1000001 1 +b1000001 W +b1000001 L +1% +1M +b0 * +b0 2 +b0 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8 +0$ +#9 +b101 f +b0 R +0% +0M +b100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +1$ +#10 +0$ +#11 +b1 R +b1000 E +b1000001000000000000000000000000 , +b1000001000000000000000000000000 4 +b1000001000000000000000000000000 Y +b100 - +b100 5 +b100 G +b100 U +b1000001 ( +b1000001 0 +b1000001 \ +b1000001 J +b110 f +1$ +#12 +0$ +#13 +b111 f +b1000001 i +b10 R +b100 Q +b10 A +1$ +#14 +0$ +#15 +b11 R +b1000 f +1$ +#16 +0$ +#17 +b1001 f +b100 R +1% +1M +1$ +#18 +0$ +#19 +b0 R +0% +0M +b1000 D +b1010 f +1$ +#20 +0$ +#21 +b1011 f +b1 R +b1100 E +b1000001000000000000000000000000 H +b0 , +b0 4 +b0 Y +b1000 - +b1000 5 +b1000 G +b1000 U +1$ +#22 +0$ +#23 +b10 R +b10000000000 P +b10000010000 @ +b0 / +b10000 O +b0 N +b1100 f +b10000010000 B +b1000001000000000000xxxxxxxxxxxx S +b10000000000 = +b100000 ? +b0 > +b0 F +b0 C +1$ +#24 +0$ +#25 +b1101 f +b11 R +b10000000000 < +1$ +#26 +0$ +#27 +b100 R +b1110 f +b0 & +b0 1 +b0 W +b0 L +bx * +bx 2 +bx X +b10000000000 + +b10000000000 3 +b10000000000 I +b10000000000 V +1$ +#28 +0$ +#29 +b1111 f +b0 R +b1100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#30 +0$ +#31 +b1 R +b10000 E +b0 H +b1100 - +b1100 5 +b1100 G +b1100 U +b10000 f +1$ +#32 +0$ +#33 +b10001 f +b10 R +b10000000000 Q +b0 P +b10000010000 A +b0 @ +b0 O +b0 B +b0xxxxxxxxxxxx S +b0 = +b0 ? +1$ +#34 +0$ +#35 +b11 R +b10010 f +b0 < +1$ +#36 +0$ +#37 +b10011 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#38 +0$ +#39 +b0 R +b10000 D +b10100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#40 +0$ +#41 +b10101 f +b1 R +b10100 E +b10000 - +b10000 5 +b10000 G +b10000 U +1$ +#42 +0$ +#43 +b10 R +b0 Q +b0 A +b10110 f +1$ +#44 +0$ +#45 +b10111 f +b11 R +1$ +#46 +0$ +#47 +b100 R +b11000 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#48 +0$ +#49 +b11001 f +b0 R +b10100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#50 +0$ +#51 +b1 R +b11000 E +b10100 - +b10100 5 +b10100 G +b10100 U +b11010 f +1$ +#52 +0$ +#53 +b11011 f +b10 R +1$ +#54 +0$ +#55 +b11 R +b11100 f +1$ +#56 +0$ +#57 +b11101 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#58 +0$ +#59 +b0 R +b11000 D +b11110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#60 +0$ +#61 +b11111 f +b1 R +b11100 E +b11000 - +b11000 5 +b11000 G +b11000 U +1$ +#62 +0$ +#63 +b10 R +b100000 f +1$ +#64 +0$ +#65 +b100001 f +b11 R +1$ +#66 +0$ +#67 +b100 R +b100010 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#68 +0$ +#69 +b100011 f +b0 R +b11100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#70 +0$ +#71 +b1 R +b100000 E +b11100 - +b11100 5 +b11100 G +b11100 U +b100100 f +1$ +#72 +0$ +#73 +b100101 f +b10 R +1$ +#74 +0$ +#75 +b11 R +b100110 f +1$ +#76 +0$ +#77 +b100111 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#78 +0$ +#79 +b0 R +b100000 D +b101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#80 +0$ +#81 +b101001 f +b1 R +b100100 E +b100000 - +b100000 5 +b100000 G +b100000 U +1$ +#82 +0$ +#83 +b10 R +b101010 f +1$ +#84 +0$ +#85 +b101011 f +b11 R +1$ +#86 +0$ +#87 +b100 R +b101100 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#88 +0$ +#89 +b101101 f +b0 R +b100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#90 +0$ +#91 +b1 R +b101000 E +b100100 - +b100100 5 +b100100 G +b100100 U +b101110 f +1$ +#92 +0$ +#93 +b101111 f +b10 R +1$ +#94 +0$ +#95 +b11 R +b110000 f +1$ +#96 +0$ +#97 +b110001 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#98 +0$ +#99 +b0 R +b101000 D +b110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#100 +0$ +#101 +b110011 f +b1 R +b101100 E +b101000 - +b101000 5 +b101000 G +b101000 U +1$ +#102 +0$ +#103 +b10 R +b110100 f +1$ +#104 +0$ +#105 +b110101 f +b11 R +1$ +#106 +0$ +#107 +b100 R +b110110 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#108 +0$ +#109 +b110111 f +b0 R +b101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#110 +0$ +#111 +b1 R +b110000 E +b101100 - +b101100 5 +b101100 G +b101100 U +b111000 f +1$ +#112 +0$ +#113 +b111001 f +b10 R +1$ +#114 +0$ +#115 +b11 R +b111010 f +1$ +#116 +0$ +#117 +b111011 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#118 +0$ +#119 +b0 R +b110000 D +b111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#120 +0$ +#121 +b111101 f +b1 R +b110100 E +b110000 - +b110000 5 +b110000 G +b110000 U +1$ +#122 +0$ +#123 +b10 R +b111110 f +1$ +#124 +0$ +#125 +b111111 f +b11 R +1$ +#126 +0$ +#127 +b100 R +b1000000 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#128 +0$ +#129 +b1000001 f +b0 R +b110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#130 +0$ +#131 +b1 R +b111000 E +b110100 - +b110100 5 +b110100 G +b110100 U +b1000010 f +1$ +#132 +0$ +#133 +b1000011 f +b10 R +1$ +#134 +0$ +#135 +b11 R +b1000100 f +1$ +#136 +0$ +#137 +b1000101 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#138 +0$ +#139 +b0 R +b111000 D +b1000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#140 +0$ +#141 +b1000111 f +b1 R +b111100 E +b111000 - +b111000 5 +b111000 G +b111000 U +1$ +#142 +0$ +#143 +b10 R +b1001000 f +1$ +#144 +0$ +#145 +b1001001 f +b11 R +1$ +#146 +0$ +#147 +b100 R +b1001010 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#148 +0$ +#149 +b1001011 f +b0 R +b111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#150 +0$ +#151 +b1 R +b1000000 E +b111100 - +b111100 5 +b111100 G +b111100 U +b1001100 f +1$ +#152 +0$ +#153 +b1001101 f +b10 R +1$ +#154 +0$ +#155 +b11 R +b1001110 f +1$ +#156 +0$ +#157 +b1001111 f +b100 R +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#158 +0$ +#159 +b0 R +b1000000 D +b1010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#160 +0$ +#161 +b1010001 f +b1 R +b1000100 E +bx , +bx 4 +bx Y +b1000000 - +b1000000 5 +b1000000 G +b1000000 U +1$ +#162 +0$ +#163 +b10 R +b1010010 f +1$ +#164 +0$ +#165 +b1010011 f +b11 R +1$ +#166 +0$ +#167 +b100 R +b1010100 f +b1000001010001000100011 * +b1000001010001000100011 2 +b1000001010001000100011 X +b0 + +b0 3 +b0 I +b0 V +1$ +#168 +0$ +#169 +b1010101 f +b0 R +b1000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#170 +0$ +#171 +b1 R +b1001000 E +bx H +b1000100 - +b1000100 5 +b1000100 G +b1000100 U +b1010110 f +1$ +#172 +0$ +#173 +b1010111 f +b10 R +bx P +bx @ +bx / +bx O +bx . +bx N +bx0 B +bx S +b0xxxxxxxxxxxx = +bx ? +bx > +bx F +bx C +1$ +#174 +0$ +#175 +b11 R +b1011000 f +bx < +1$ +#176 +0$ +#177 +b1011001 f +b100 R +bx & +bx 1 +bx W +bx L +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#178 +0$ +#179 +b0 R +0% +0M +b1001000 D +b1011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#180 +0$ +#181 +b1011011 f +b1 R +b1001100 E +b1001000 - +b1001000 5 +b1001000 G +b1001000 U +1$ +#182 +0$ +#183 +b10 R +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Q +b0xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx A +b1011100 f +1$ +#184 +0$ +#185 +b1011101 f +b11 R +1$ +#186 +0$ +#187 +b100 R +b1011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#188 +0$ +#189 +b1011111 f +b0 R +0% +0M +b1001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#190 +0$ +#191 +b1 R +b1010000 E +b1001100 - +b1001100 5 +b1001100 G +b1001100 U +b1100000 f +1$ +#192 +0$ +#193 +b1100001 f +b10 R +1$ +#194 +0$ +#195 +b11 R +b1100010 f +1$ +#196 +0$ +#197 +b1100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#198 +0$ +#199 +b0 R +0% +0M +b1010000 D +b1100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#200 +0$ +#201 +b1100101 f +b1 R +b1010100 E +b1010000 - +b1010000 5 +b1010000 G +b1010000 U +1$ +#202 +0$ +#203 +b10 R +b1100110 f +1$ +#204 +0$ +#205 +b1100111 f +b11 R +1$ +#206 +0$ +#207 +b100 R +b1101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#208 +0$ +#209 +b1101001 f +b0 R +0% +0M +b1010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#210 +0$ +#211 +b1 R +b1011000 E +b1010100 - +b1010100 5 +b1010100 G +b1010100 U +b1101010 f +1$ +#212 +0$ +#213 +b1101011 f +b10 R +1$ +#214 +0$ +#215 +b11 R +b1101100 f +1$ +#216 +0$ +#217 +b1101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#218 +0$ +#219 +b0 R +0% +0M +b1011000 D +b1101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#220 +0$ +#221 +b1101111 f +b1 R +b1011100 E +b1011000 - +b1011000 5 +b1011000 G +b1011000 U +1$ +#222 +0$ +#223 +b10 R +b1110000 f +1$ +#224 +0$ +#225 +b1110001 f +b11 R +1$ +#226 +0$ +#227 +b100 R +b1110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#228 +0$ +#229 +b1110011 f +b0 R +0% +0M +b1011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#230 +0$ +#231 +b1 R +b1100000 E +b1011100 - +b1011100 5 +b1011100 G +b1011100 U +b1110100 f +1$ +#232 +0$ +#233 +b1110101 f +b10 R +1$ +#234 +0$ +#235 +b11 R +b1110110 f +1$ +#236 +0$ +#237 +b1110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#238 +0$ +#239 +b0 R +0% +0M +b1100000 D +b1111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#240 +0$ +#241 +b1111001 f +b1 R +b1100100 E +b1100000 - +b1100000 5 +b1100000 G +b1100000 U +1$ +#242 +0$ +#243 +b10 R +b1111010 f +1$ +#244 +0$ +#245 +b1111011 f +b11 R +1$ +#246 +0$ +#247 +b100 R +b1111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#248 +0$ +#249 +b1111101 f +b0 R +0% +0M +b1100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#250 +0$ +#251 +b1 R +b1101000 E +b1100100 - +b1100100 5 +b1100100 G +b1100100 U +b1111110 f +1$ +#252 +0$ +#253 +b1111111 f +b10 R +1$ +#254 +0$ +#255 +b11 R +b10000000 f +1$ +#256 +0$ +#257 +b10000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#258 +0$ +#259 +b0 R +0% +0M +b1101000 D +b10000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#260 +0$ +#261 +b10000011 f +b1 R +b1101100 E +b1101000 - +b1101000 5 +b1101000 G +b1101000 U +1$ +#262 +0$ +#263 +b10 R +b10000100 f +1$ +#264 +0$ +#265 +b10000101 f +b11 R +1$ +#266 +0$ +#267 +b100 R +b10000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#268 +0$ +#269 +b10000111 f +b0 R +0% +0M +b1101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#270 +0$ +#271 +b1 R +b1110000 E +b1101100 - +b1101100 5 +b1101100 G +b1101100 U +b10001000 f +1$ +#272 +0$ +#273 +b10001001 f +b10 R +1$ +#274 +0$ +#275 +b11 R +b10001010 f +1$ +#276 +0$ +#277 +b10001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#278 +0$ +#279 +b0 R +0% +0M +b1110000 D +b10001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#280 +0$ +#281 +b10001101 f +b1 R +b1110100 E +b1110000 - +b1110000 5 +b1110000 G +b1110000 U +1$ +#282 +0$ +#283 +b10 R +b10001110 f +1$ +#284 +0$ +#285 +b10001111 f +b11 R +1$ +#286 +0$ +#287 +b100 R +b10010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#288 +0$ +#289 +b10010001 f +b0 R +0% +0M +b1110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#290 +0$ +#291 +b1 R +b1111000 E +b1110100 - +b1110100 5 +b1110100 G +b1110100 U +b10010010 f +1$ +#292 +0$ +#293 +b10010011 f +b10 R +1$ +#294 +0$ +#295 +b11 R +b10010100 f +1$ +#296 +0$ +#297 +b10010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#298 +0$ +#299 +b0 R +0% +0M +b1111000 D +b10010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#300 +0$ +#301 +b10010111 f +b1 R +b1111100 E +b1111000 - +b1111000 5 +b1111000 G +b1111000 U +1$ +#302 +0$ +#303 +b10 R +b10011000 f +1$ +#304 +0$ +#305 +b10011001 f +b11 R +1$ +#306 +0$ +#307 +b100 R +b10011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#308 +0$ +#309 +b10011011 f +b0 R +0% +0M +b1111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#310 +0$ +#311 +b1 R +b10000000 E +b1111100 - +b1111100 5 +b1111100 G +b1111100 U +b10011100 f +1$ +#312 +0$ +#313 +b10011101 f +b10 R +1$ +#314 +0$ +#315 +b11 R +b10011110 f +1$ +#316 +0$ +#317 +b10011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#318 +0$ +#319 +b0 R +0% +0M +b10000000 D +b10100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#320 +0$ +#321 +b10100001 f +b1 R +b10000100 E +b10000000 - +b10000000 5 +b10000000 G +b10000000 U +1$ +#322 +0$ +#323 +b10 R +b10100010 f +1$ +#324 +0$ +#325 +b10100011 f +b11 R +1$ +#326 +0$ +#327 +b100 R +b10100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#328 +0$ +#329 +b10100101 f +b0 R +0% +0M +b10000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#330 +0$ +#331 +b1 R +b10001000 E +b10000100 - +b10000100 5 +b10000100 G +b10000100 U +b10100110 f +1$ +#332 +0$ +#333 +b10100111 f +b10 R +1$ +#334 +0$ +#335 +b11 R +b10101000 f +1$ +#336 +0$ +#337 +b10101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#338 +0$ +#339 +b0 R +0% +0M +b10001000 D +b10101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#340 +0$ +#341 +b10101011 f +b1 R +b10001100 E +b10001000 - +b10001000 5 +b10001000 G +b10001000 U +1$ +#342 +0$ +#343 +b10 R +b10101100 f +1$ +#344 +0$ +#345 +b10101101 f +b11 R +1$ +#346 +0$ +#347 +b100 R +b10101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#348 +0$ +#349 +b10101111 f +b0 R +0% +0M +b10001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#350 +0$ +#351 +b1 R +b10010000 E +b10001100 - +b10001100 5 +b10001100 G +b10001100 U +b10110000 f +1$ +#352 +0$ +#353 +b10110001 f +b10 R +1$ +#354 +0$ +#355 +b11 R +b10110010 f +1$ +#356 +0$ +#357 +b10110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#358 +0$ +#359 +b0 R +0% +0M +b10010000 D +b10110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#360 +0$ +#361 +b10110101 f +b1 R +b10010100 E +b10010000 - +b10010000 5 +b10010000 G +b10010000 U +1$ +#362 +0$ +#363 +b10 R +b10110110 f +1$ +#364 +0$ +#365 +b10110111 f +b11 R +1$ +#366 +0$ +#367 +b100 R +b10111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#368 +0$ +#369 +b10111001 f +b0 R +0% +0M +b10010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#370 +0$ +#371 +b1 R +b10011000 E +b10010100 - +b10010100 5 +b10010100 G +b10010100 U +b10111010 f +1$ +#372 +0$ +#373 +b10111011 f +b10 R +1$ +#374 +0$ +#375 +b11 R +b10111100 f +1$ +#376 +0$ +#377 +b10111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#378 +0$ +#379 +b0 R +0% +0M +b10011000 D +b10111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#380 +0$ +#381 +b10111111 f +b1 R +b10011100 E +b10011000 - +b10011000 5 +b10011000 G +b10011000 U +1$ +#382 +0$ +#383 +b10 R +b11000000 f +1$ +#384 +0$ +#385 +b11000001 f +b11 R +1$ +#386 +0$ +#387 +b100 R +b11000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#388 +0$ +#389 +b11000011 f +b0 R +0% +0M +b10011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#390 +0$ +#391 +b1 R +b10100000 E +b10011100 - +b10011100 5 +b10011100 G +b10011100 U +b11000100 f +1$ +#392 +0$ +#393 +b11000101 f +b10 R +1$ +#394 +0$ +#395 +b11 R +b11000110 f +1$ +#396 +0$ +#397 +b11000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#398 +0$ +#399 +b0 R +0% +0M +b10100000 D +b11001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#400 +0$ +#401 +b11001001 f +b1 R +b10100100 E +b10100000 - +b10100000 5 +b10100000 G +b10100000 U +1$ +#402 +0$ +#403 +b10 R +b11001010 f +1$ +#404 +0$ +#405 +b11001011 f +b11 R +1$ +#406 +0$ +#407 +b100 R +b11001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#408 +0$ +#409 +b11001101 f +b0 R +0% +0M +b10100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#410 +0$ +#411 +b1 R +b10101000 E +b10100100 - +b10100100 5 +b10100100 G +b10100100 U +b11001110 f +1$ +#412 +0$ +#413 +b11001111 f +b10 R +1$ +#414 +0$ +#415 +b11 R +b11010000 f +1$ +#416 +0$ +#417 +b11010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#418 +0$ +#419 +b0 R +0% +0M +b10101000 D +b11010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#420 +0$ +#421 +b11010011 f +b1 R +b10101100 E +b10101000 - +b10101000 5 +b10101000 G +b10101000 U +1$ +#422 +0$ +#423 +b10 R +b11010100 f +1$ +#424 +0$ +#425 +b11010101 f +b11 R +1$ +#426 +0$ +#427 +b100 R +b11010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#428 +0$ +#429 +b11010111 f +b0 R +0% +0M +b10101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#430 +0$ +#431 +b1 R +b10110000 E +b10101100 - +b10101100 5 +b10101100 G +b10101100 U +b11011000 f +1$ +#432 +0$ +#433 +b11011001 f +b10 R +1$ +#434 +0$ +#435 +b11 R +b11011010 f +1$ +#436 +0$ +#437 +b11011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#438 +0$ +#439 +b0 R +0% +0M +b10110000 D +b11011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#440 +0$ +#441 +b11011101 f +b1 R +b10110100 E +b10110000 - +b10110000 5 +b10110000 G +b10110000 U +1$ +#442 +0$ +#443 +b10 R +b11011110 f +1$ +#444 +0$ +#445 +b11011111 f +b11 R +1$ +#446 +0$ +#447 +b100 R +b11100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#448 +0$ +#449 +b11100001 f +b0 R +0% +0M +b10110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#450 +0$ +#451 +b1 R +b10111000 E +b10110100 - +b10110100 5 +b10110100 G +b10110100 U +b11100010 f +1$ +#452 +0$ +#453 +b11100011 f +b10 R +1$ +#454 +0$ +#455 +b11 R +b11100100 f +1$ +#456 +0$ +#457 +b11100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#458 +0$ +#459 +b0 R +0% +0M +b10111000 D +b11100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#460 +0$ +#461 +b11100111 f +b1 R +b10111100 E +b10111000 - +b10111000 5 +b10111000 G +b10111000 U +1$ +#462 +0$ +#463 +b10 R +b11101000 f +1$ +#464 +0$ +#465 +b11101001 f +b11 R +1$ +#466 +0$ +#467 +b100 R +1] +b0 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#468 +0$ +#469 +0] +b1 f +1) +b1 l +b0 R +0% +0M +b10111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#470 +0$ +#471 +b1 R +b11000000 E +b10111100 - +b10111100 5 +b10111100 G +b10111100 U +0! +0m +b10 f +1$ +#472 +0$ +#473 +b11 f +b10 R +1$ +#474 +0$ +#475 +b11 R +b100 f +1$ +#476 +0$ +#477 +b101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#478 +0$ +#479 +b0 R +0% +0M +b11000000 D +b110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#480 +0$ +#481 +b111 f +b1 R +b11000100 E +b11000000 - +b11000000 5 +b11000000 G +b11000000 U +1$ +#482 +0$ +#483 +b10 R +b1000 f +1$ +#484 +0$ +#485 +b1001 f +b11 R +1$ +#486 +0$ +#487 +b100 R +b1010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#488 +0$ +#489 +b1011 f +b0 R +0% +0M +b11000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#490 +0$ +#491 +b1 R +b11001000 E +b11000100 - +b11000100 5 +b11000100 G +b11000100 U +b1100 f +1$ +#492 +0$ +#493 +b1101 f +b10 R +1$ +#494 +0$ +#495 +b11 R +b1110 f +1$ +#496 +0$ +#497 +b1111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#498 +0$ +#499 +b0 R +0% +0M +b11001000 D +b10000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#500 +0$ +#501 +b10001 f +b1 R +b11001100 E +b11001000 - +b11001000 5 +b11001000 G +b11001000 U +1$ +#502 +0$ +#503 +b10 R +b10010 f +1$ +#504 +0$ +#505 +b10011 f +b11 R +1$ +#506 +0$ +#507 +b100 R +b10100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#508 +0$ +#509 +b10101 f +b0 R +0% +0M +b11001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#510 +0$ +#511 +b1 R +b11010000 E +b11001100 - +b11001100 5 +b11001100 G +b11001100 U +b10110 f +1$ +#512 +0$ +#513 +b10111 f +b10 R +1$ +#514 +0$ +#515 +b11 R +b11000 f +1$ +#516 +0$ +#517 +b11001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#518 +0$ +#519 +b0 R +0% +0M +b11010000 D +b11010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#520 +0$ +#521 +b11011 f +b1 R +b11010100 E +b11010000 - +b11010000 5 +b11010000 G +b11010000 U +1$ +#522 +0$ +#523 +b10 R +b11100 f +1$ +#524 +0$ +#525 +b11101 f +b11 R +1$ +#526 +0$ +#527 +b100 R +b11110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#528 +0$ +#529 +b11111 f +b0 R +0% +0M +b11010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#530 +0$ +#531 +b1 R +b11011000 E +b11010100 - +b11010100 5 +b11010100 G +b11010100 U +b100000 f +1$ +#532 +0$ +#533 +b100001 f +b10 R +1$ +#534 +0$ +#535 +b11 R +b100010 f +1$ +#536 +0$ +#537 +b100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#538 +0$ +#539 +b0 R +0% +0M +b11011000 D +b100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#540 +0$ +#541 +b100101 f +b1 R +b11011100 E +b11011000 - +b11011000 5 +b11011000 G +b11011000 U +1$ +#542 +0$ +#543 +b10 R +b100110 f +1$ +#544 +0$ +#545 +b100111 f +b11 R +1$ +#546 +0$ +#547 +b100 R +b101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#548 +0$ +#549 +b101001 f +b0 R +0% +0M +b11011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#550 +0$ +#551 +b1 R +b11100000 E +b11011100 - +b11011100 5 +b11011100 G +b11011100 U +b101010 f +1$ +#552 +0$ +#553 +b101011 f +b10 R +1$ +#554 +0$ +#555 +b11 R +b101100 f +1$ +#556 +0$ +#557 +b101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#558 +0$ +#559 +b0 R +0% +0M +b11100000 D +b101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#560 +0$ +#561 +b101111 f +b1 R +b11100100 E +b11100000 - +b11100000 5 +b11100000 G +b11100000 U +1$ +#562 +0$ +#563 +b10 R +b110000 f +1$ +#564 +0$ +#565 +b110001 f +b11 R +1$ +#566 +0$ +#567 +b100 R +b110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#568 +0$ +#569 +b110011 f +b0 R +0% +0M +b11100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#570 +0$ +#571 +b1 R +b11101000 E +b11100100 - +b11100100 5 +b11100100 G +b11100100 U +b110100 f +1$ +#572 +0$ +#573 +b110101 f +b10 R +1$ +#574 +0$ +#575 +b11 R +b110110 f +1$ +#576 +0$ +#577 +b110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#578 +0$ +#579 +b0 R +0% +0M +b11101000 D +b111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#580 +0$ +#581 +b111001 f +b1 R +b11101100 E +b11101000 - +b11101000 5 +b11101000 G +b11101000 U +1$ +#582 +0$ +#583 +b10 R +b111010 f +1$ +#584 +0$ +#585 +b111011 f +b11 R +1$ +#586 +0$ +#587 +b100 R +b111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#588 +0$ +#589 +b111101 f +b0 R +0% +0M +b11101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#590 +0$ +#591 +b1 R +b11110000 E +b11101100 - +b11101100 5 +b11101100 G +b11101100 U +b111110 f +1$ +#592 +0$ +#593 +b111111 f +b10 R +1$ +#594 +0$ +#595 +b11 R +b1000000 f +1$ +#596 +0$ +#597 +b1000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#598 +0$ +#599 +b0 R +0% +0M +b11110000 D +b1000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#600 +0$ +#601 +b1000011 f +b1 R +b11110100 E +b11110000 - +b11110000 5 +b11110000 G +b11110000 U +1$ +#602 +0$ +#603 +b10 R +b1000100 f +1$ +#604 +0$ +#605 +b1000101 f +b11 R +1$ +#606 +0$ +#607 +b100 R +b1000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#608 +0$ +#609 +b1000111 f +b0 R +0% +0M +b11110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#610 +0$ +#611 +b1 R +b11111000 E +b11110100 - +b11110100 5 +b11110100 G +b11110100 U +b1001000 f +1$ +#612 +0$ +#613 +b1001001 f +b10 R +1$ +#614 +0$ +#615 +b11 R +b1001010 f +1$ +#616 +0$ +#617 +b1001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#618 +0$ +#619 +b0 R +0% +0M +b11111000 D +b1001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#620 +0$ +#621 +b1001101 f +b1 R +b11111100 E +b11111000 - +b11111000 5 +b11111000 G +b11111000 U +1$ +#622 +0$ +#623 +b10 R +b1001110 f +1$ +#624 +0$ +#625 +b1001111 f +b11 R +1$ +#626 +0$ +#627 +b100 R +b1010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#628 +0$ +#629 +b1010001 f +b0 R +0% +0M +b11111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#630 +0$ +#631 +b1 R +b100000000 E +b11111100 - +b11111100 5 +b11111100 G +b11111100 U +b1010010 f +1$ +#632 +0$ +#633 +b1010011 f +b10 R +1$ +#634 +0$ +#635 +b11 R +b1010100 f +1$ +#636 +0$ +#637 +b1010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#638 +0$ +#639 +b0 R +0% +0M +b100000000 D +b1010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#640 +0$ +#641 +b1010111 f +b1 R +b100000100 E +b100000000 - +b100000000 5 +b100000000 G +b100000000 U +1$ +#642 +0$ +#643 +b10 R +b1011000 f +1$ +#644 +0$ +#645 +b1011001 f +b11 R +1$ +#646 +0$ +#647 +b100 R +b1011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#648 +0$ +#649 +b1011011 f +b0 R +0% +0M +b100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#650 +0$ +#651 +b1 R +b100001000 E +b100000100 - +b100000100 5 +b100000100 G +b100000100 U +b1011100 f +1$ +#652 +0$ +#653 +b1011101 f +b10 R +1$ +#654 +0$ +#655 +b11 R +b1011110 f +1$ +#656 +0$ +#657 +b1011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#658 +0$ +#659 +b0 R +0% +0M +b100001000 D +b1100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#660 +0$ +#661 +b1100001 f +b1 R +b100001100 E +b100001000 - +b100001000 5 +b100001000 G +b100001000 U +1$ +#662 +0$ +#663 +b10 R +b1100010 f +1$ +#664 +0$ +#665 +b1100011 f +b11 R +1$ +#666 +0$ +#667 +b100 R +b1100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#668 +0$ +#669 +b1100101 f +b0 R +0% +0M +b100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#670 +0$ +#671 +b1 R +b100010000 E +b100001100 - +b100001100 5 +b100001100 G +b100001100 U +b1100110 f +1$ +#672 +0$ +#673 +b1100111 f +b10 R +1$ +#674 +0$ +#675 +b11 R +b1101000 f +1$ +#676 +0$ +#677 +b1101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#678 +0$ +#679 +b0 R +0% +0M +b100010000 D +b1101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#680 +0$ +#681 +b1101011 f +b1 R +b100010100 E +b100010000 - +b100010000 5 +b100010000 G +b100010000 U +1$ +#682 +0$ +#683 +b10 R +b1101100 f +1$ +#684 +0$ +#685 +b1101101 f +b11 R +1$ +#686 +0$ +#687 +b100 R +b1101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#688 +0$ +#689 +b1101111 f +b0 R +0% +0M +b100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#690 +0$ +#691 +b1 R +b100011000 E +b100010100 - +b100010100 5 +b100010100 G +b100010100 U +b1110000 f +1$ +#692 +0$ +#693 +b1110001 f +b10 R +1$ +#694 +0$ +#695 +b11 R +b1110010 f +1$ +#696 +0$ +#697 +b1110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#698 +0$ +#699 +b0 R +0% +0M +b100011000 D +b1110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#700 +0$ +#701 +b1110101 f +b1 R +b100011100 E +b100011000 - +b100011000 5 +b100011000 G +b100011000 U +1$ +#702 +0$ +#703 +b10 R +b1110110 f +1$ +#704 +0$ +#705 +b1110111 f +b11 R +1$ +#706 +0$ +#707 +b100 R +b1111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#708 +0$ +#709 +b1111001 f +b0 R +0% +0M +b100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#710 +0$ +#711 +b1 R +b100100000 E +b100011100 - +b100011100 5 +b100011100 G +b100011100 U +b1111010 f +1$ +#712 +0$ +#713 +b1111011 f +b10 R +1$ +#714 +0$ +#715 +b11 R +b1111100 f +1$ +#716 +0$ +#717 +b1111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#718 +0$ +#719 +b0 R +0% +0M +b100100000 D +b1111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#720 +0$ +#721 +b1111111 f +b1 R +b100100100 E +b100100000 - +b100100000 5 +b100100000 G +b100100000 U +1$ +#722 +0$ +#723 +b10 R +b10000000 f +1$ +#724 +0$ +#725 +b10000001 f +b11 R +1$ +#726 +0$ +#727 +b100 R +b10000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#728 +0$ +#729 +b10000011 f +b0 R +0% +0M +b100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#730 +0$ +#731 +b1 R +b100101000 E +b100100100 - +b100100100 5 +b100100100 G +b100100100 U +b10000100 f +1$ +#732 +0$ +#733 +b10000101 f +b10 R +1$ +#734 +0$ +#735 +b11 R +b10000110 f +1$ +#736 +0$ +#737 +b10000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#738 +0$ +#739 +b0 R +0% +0M +b100101000 D +b10001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#740 +0$ +#741 +b10001001 f +b1 R +b100101100 E +b100101000 - +b100101000 5 +b100101000 G +b100101000 U +1$ +#742 +0$ +#743 +b10 R +b10001010 f +1$ +#744 +0$ +#745 +b10001011 f +b11 R +1$ +#746 +0$ +#747 +b100 R +b10001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#748 +0$ +#749 +b10001101 f +b0 R +0% +0M +b100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#750 +0$ +#751 +b1 R +b100110000 E +b100101100 - +b100101100 5 +b100101100 G +b100101100 U +b10001110 f +1$ +#752 +0$ +#753 +b10001111 f +b10 R +1$ +#754 +0$ +#755 +b11 R +b10010000 f +1$ +#756 +0$ +#757 +b10010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#758 +0$ +#759 +b0 R +0% +0M +b100110000 D +b10010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#760 +0$ +#761 +b10010011 f +b1 R +b100110100 E +b100110000 - +b100110000 5 +b100110000 G +b100110000 U +1$ +#762 +0$ +#763 +b10 R +b10010100 f +1$ +#764 +0$ +#765 +b10010101 f +b11 R +1$ +#766 +0$ +#767 +b100 R +b10010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#768 +0$ +#769 +b10010111 f +b0 R +0% +0M +b100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#770 +0$ +#771 +b1 R +b100111000 E +b100110100 - +b100110100 5 +b100110100 G +b100110100 U +b10011000 f +1$ +#772 +0$ +#773 +b10011001 f +b10 R +1$ +#774 +0$ +#775 +b11 R +b10011010 f +1$ +#776 +0$ +#777 +b10011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#778 +0$ +#779 +b0 R +0% +0M +b100111000 D +b10011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#780 +0$ +#781 +b10011101 f +b1 R +b100111100 E +b100111000 - +b100111000 5 +b100111000 G +b100111000 U +1$ +#782 +0$ +#783 +b10 R +b10011110 f +1$ +#784 +0$ +#785 +b10011111 f +b11 R +1$ +#786 +0$ +#787 +b100 R +b10100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#788 +0$ +#789 +b10100001 f +b0 R +0% +0M +b100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#790 +0$ +#791 +b1 R +b101000000 E +b100111100 - +b100111100 5 +b100111100 G +b100111100 U +b10100010 f +1$ +#792 +0$ +#793 +b10100011 f +b10 R +1$ +#794 +0$ +#795 +b11 R +b10100100 f +1$ +#796 +0$ +#797 +b10100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#798 +0$ +#799 +b0 R +0% +0M +b101000000 D +b10100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#800 +0$ +#801 +b10100111 f +b1 R +b101000100 E +b101000000 - +b101000000 5 +b101000000 G +b101000000 U +1$ +#802 +0$ +#803 +b10 R +b10101000 f +1$ +#804 +0$ +#805 +b10101001 f +b11 R +1$ +#806 +0$ +#807 +b100 R +b10101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#808 +0$ +#809 +b10101011 f +b0 R +0% +0M +b101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#810 +0$ +#811 +b1 R +b101001000 E +b101000100 - +b101000100 5 +b101000100 G +b101000100 U +b10101100 f +1$ +#812 +0$ +#813 +b10101101 f +b10 R +1$ +#814 +0$ +#815 +b11 R +b10101110 f +1$ +#816 +0$ +#817 +b10101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#818 +0$ +#819 +b0 R +0% +0M +b101001000 D +b10110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#820 +0$ +#821 +b10110001 f +b1 R +b101001100 E +b101001000 - +b101001000 5 +b101001000 G +b101001000 U +1$ +#822 +0$ +#823 +b10 R +b10110010 f +1$ +#824 +0$ +#825 +b10110011 f +b11 R +1$ +#826 +0$ +#827 +b100 R +b10110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#828 +0$ +#829 +b10110101 f +b0 R +0% +0M +b101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#830 +0$ +#831 +b1 R +b101010000 E +b101001100 - +b101001100 5 +b101001100 G +b101001100 U +b10110110 f +1$ +#832 +0$ +#833 +b10110111 f +b10 R +1$ +#834 +0$ +#835 +b11 R +b10111000 f +1$ +#836 +0$ +#837 +b10111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#838 +0$ +#839 +b0 R +0% +0M +b101010000 D +b10111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#840 +0$ +#841 +b10111011 f +b1 R +b101010100 E +b101010000 - +b101010000 5 +b101010000 G +b101010000 U +1$ +#842 +0$ +#843 +b10 R +b10111100 f +1$ +#844 +0$ +#845 +b10111101 f +b11 R +1$ +#846 +0$ +#847 +b100 R +b10111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#848 +0$ +#849 +b10111111 f +b0 R +0% +0M +b101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#850 +0$ +#851 +b1 R +b101011000 E +b101010100 - +b101010100 5 +b101010100 G +b101010100 U +b11000000 f +1$ +#852 +0$ +#853 +b11000001 f +b10 R +1$ +#854 +0$ +#855 +b11 R +b11000010 f +1$ +#856 +0$ +#857 +b11000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#858 +0$ +#859 +b0 R +0% +0M +b101011000 D +b11000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#860 +0$ +#861 +b11000101 f +b1 R +b101011100 E +b101011000 - +b101011000 5 +b101011000 G +b101011000 U +1$ +#862 +0$ +#863 +b10 R +b11000110 f +1$ +#864 +0$ +#865 +b11000111 f +b11 R +1$ +#866 +0$ +#867 +b100 R +b11001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#868 +0$ +#869 +b11001001 f +b0 R +0% +0M +b101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#870 +0$ +#871 +b1 R +b101100000 E +b101011100 - +b101011100 5 +b101011100 G +b101011100 U +b11001010 f +1$ +#872 +0$ +#873 +b11001011 f +b10 R +1$ +#874 +0$ +#875 +b11 R +b11001100 f +1$ +#876 +0$ +#877 +b11001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#878 +0$ +#879 +b0 R +0% +0M +b101100000 D +b11001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#880 +0$ +#881 +b11001111 f +b1 R +b101100100 E +b101100000 - +b101100000 5 +b101100000 G +b101100000 U +1$ +#882 +0$ +#883 +b10 R +b11010000 f +1$ +#884 +0$ +#885 +b11010001 f +b11 R +1$ +#886 +0$ +#887 +b100 R +b11010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#888 +0$ +#889 +b11010011 f +b0 R +0% +0M +b101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#890 +0$ +#891 +b1 R +b101101000 E +b101100100 - +b101100100 5 +b101100100 G +b101100100 U +b11010100 f +1$ +#892 +0$ +#893 +b11010101 f +b10 R +1$ +#894 +0$ +#895 +b11 R +b11010110 f +1$ +#896 +0$ +#897 +b11010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#898 +0$ +#899 +b0 R +0% +0M +b101101000 D +b11011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#900 +0$ +#901 +b11011001 f +b1 R +b101101100 E +b101101000 - +b101101000 5 +b101101000 G +b101101000 U +1$ +#902 +0$ +#903 +b10 R +b11011010 f +1$ +#904 +0$ +#905 +b11011011 f +b11 R +1$ +#906 +0$ +#907 +b100 R +b11011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#908 +0$ +#909 +b11011101 f +b0 R +0% +0M +b101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#910 +0$ +#911 +b1 R +b101110000 E +b101101100 - +b101101100 5 +b101101100 G +b101101100 U +b11011110 f +1$ +#912 +0$ +#913 +b11011111 f +b10 R +1$ +#914 +0$ +#915 +b11 R +b11100000 f +1$ +#916 +0$ +#917 +b11100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#918 +0$ +#919 +b0 R +0% +0M +b101110000 D +b11100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#920 +0$ +#921 +b11100011 f +b1 R +b101110100 E +b101110000 - +b101110000 5 +b101110000 G +b101110000 U +1$ +#922 +0$ +#923 +b10 R +b11100100 f +1$ +#924 +0$ +#925 +b11100101 f +b11 R +1$ +#926 +0$ +#927 +b100 R +b11100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#928 +0$ +#929 +b11100111 f +b0 R +0% +0M +b101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#930 +0$ +#931 +b1 R +b101111000 E +b101110100 - +b101110100 5 +b101110100 G +b101110100 U +b11101000 f +1$ +#932 +0$ +#933 +b11101001 f +b10 R +1$ +#934 +0$ +#935 +b11 R +1] +b0 f +1$ +#936 +0$ +#937 +0] +b1 f +b10 l +b1000001 g +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#938 +0$ +#939 +b0 R +0% +0M +b101111000 D +1! +1m +b10 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#940 +0$ +#941 +b11 f +b1 R +b101111100 E +b101111000 - +b101111000 5 +b101111000 G +b101111000 U +1$ +#942 +0$ +#943 +b10 R +b100 f +1$ +#944 +0$ +#945 +b101 f +b11 R +1$ +#946 +0$ +#947 +b100 R +b110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#948 +0$ +#949 +b111 f +b0 R +0% +0M +b101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#950 +0$ +#951 +b1 R +b110000000 E +b101111100 - +b101111100 5 +b101111100 G +b101111100 U +b1000 f +1$ +#952 +0$ +#953 +b1001 f +b10 R +1$ +#954 +0$ +#955 +b11 R +b1010 f +1$ +#956 +0$ +#957 +b1011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#958 +0$ +#959 +b0 R +0% +0M +b110000000 D +b1100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#960 +0$ +#961 +b1101 f +b1 R +b110000100 E +b110000000 - +b110000000 5 +b110000000 G +b110000000 U +1$ +#962 +0$ +#963 +b10 R +b1110 f +1$ +#964 +0$ +#965 +b1111 f +b11 R +1$ +#966 +0$ +#967 +b100 R +b10000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#968 +0$ +#969 +b10001 f +b0 R +0% +0M +b110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#970 +0$ +#971 +b1 R +b110001000 E +b110000100 - +b110000100 5 +b110000100 G +b110000100 U +b10010 f +1$ +#972 +0$ +#973 +b10011 f +b10 R +1$ +#974 +0$ +#975 +b11 R +b10100 f +1$ +#976 +0$ +#977 +b10101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#978 +0$ +#979 +b0 R +0% +0M +b110001000 D +b10110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#980 +0$ +#981 +b10111 f +b1 R +b110001100 E +b110001000 - +b110001000 5 +b110001000 G +b110001000 U +1$ +#982 +0$ +#983 +b10 R +b11000 f +1$ +#984 +0$ +#985 +b11001 f +b11 R +1$ +#986 +0$ +#987 +b100 R +b11010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#988 +0$ +#989 +b11011 f +b0 R +0% +0M +b110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#990 +0$ +#991 +b1 R +b110010000 E +b110001100 - +b110001100 5 +b110001100 G +b110001100 U +b11100 f +1$ +#992 +0$ +#993 +b11101 f +b10 R +1$ +#994 +0$ +#995 +b11 R +b11110 f +1$ +#996 +0$ +#997 +b11111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#998 +0$ +#999 +b0 R +0% +0M +b110010000 D +b100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1000 +0$ +#1001 +b100001 f +b1 R +b110010100 E +b110010000 - +b110010000 5 +b110010000 G +b110010000 U +1$ +#1002 +0$ +#1003 +b10 R +b100010 f +1$ +#1004 +0$ +#1005 +b100011 f +b11 R +1$ +#1006 +0$ +#1007 +b100 R +b100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1008 +0$ +#1009 +b100101 f +b0 R +0% +0M +b110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1010 +0$ +#1011 +b1 R +b110011000 E +b110010100 - +b110010100 5 +b110010100 G +b110010100 U +b100110 f +1$ +#1012 +0$ +#1013 +b100111 f +b10 R +1$ +#1014 +0$ +#1015 +b11 R +b101000 f +1$ +#1016 +0$ +#1017 +b101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1018 +0$ +#1019 +b0 R +0% +0M +b110011000 D +b101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1020 +0$ +#1021 +b101011 f +b1 R +b110011100 E +b110011000 - +b110011000 5 +b110011000 G +b110011000 U +1$ +#1022 +0$ +#1023 +b10 R +b101100 f +1$ +#1024 +0$ +#1025 +b101101 f +b11 R +1$ +#1026 +0$ +#1027 +b100 R +b101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1028 +0$ +#1029 +b101111 f +b0 R +0% +0M +b110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1030 +0$ +#1031 +b1 R +b110100000 E +b110011100 - +b110011100 5 +b110011100 G +b110011100 U +b110000 f +1$ +#1032 +0$ +#1033 +b110001 f +b10 R +1$ +#1034 +0$ +#1035 +b11 R +b110010 f +1$ +#1036 +0$ +#1037 +b110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1038 +0$ +#1039 +b0 R +0% +0M +b110100000 D +b110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1040 +0$ +#1041 +b110101 f +b1 R +b110100100 E +b110100000 - +b110100000 5 +b110100000 G +b110100000 U +1$ +#1042 +0$ +#1043 +b10 R +b110110 f +1$ +#1044 +0$ +#1045 +b110111 f +b11 R +1$ +#1046 +0$ +#1047 +b100 R +b111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1048 +0$ +#1049 +b111001 f +b0 R +0% +0M +b110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1050 +0$ +#1051 +b1 R +b110101000 E +b110100100 - +b110100100 5 +b110100100 G +b110100100 U +b111010 f +1$ +#1052 +0$ +#1053 +b111011 f +b10 R +1$ +#1054 +0$ +#1055 +b11 R +b111100 f +1$ +#1056 +0$ +#1057 +b111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1058 +0$ +#1059 +b0 R +0% +0M +b110101000 D +b111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1060 +0$ +#1061 +b111111 f +b1 R +b110101100 E +b110101000 - +b110101000 5 +b110101000 G +b110101000 U +1$ +#1062 +0$ +#1063 +b10 R +b1000000 f +1$ +#1064 +0$ +#1065 +b1000001 f +b11 R +1$ +#1066 +0$ +#1067 +b100 R +b1000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1068 +0$ +#1069 +b1000011 f +b0 R +0% +0M +b110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1070 +0$ +#1071 +b1 R +b110110000 E +b110101100 - +b110101100 5 +b110101100 G +b110101100 U +b1000100 f +1$ +#1072 +0$ +#1073 +b1000101 f +b10 R +1$ +#1074 +0$ +#1075 +b11 R +b1000110 f +1$ +#1076 +0$ +#1077 +b1000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1078 +0$ +#1079 +b0 R +0% +0M +b110110000 D +b1001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1080 +0$ +#1081 +b1001001 f +b1 R +b110110100 E +b110110000 - +b110110000 5 +b110110000 G +b110110000 U +1$ +#1082 +0$ +#1083 +b10 R +b1001010 f +1$ +#1084 +0$ +#1085 +b1001011 f +b11 R +1$ +#1086 +0$ +#1087 +b100 R +b1001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1088 +0$ +#1089 +b1001101 f +b0 R +0% +0M +b110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1090 +0$ +#1091 +b1 R +b110111000 E +b110110100 - +b110110100 5 +b110110100 G +b110110100 U +b1001110 f +1$ +#1092 +0$ +#1093 +b1001111 f +b10 R +1$ +#1094 +0$ +#1095 +b11 R +b1010000 f +1$ +#1096 +0$ +#1097 +b1010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1098 +0$ +#1099 +b0 R +0% +0M +b110111000 D +b1010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1100 +0$ +#1101 +b1010011 f +b1 R +b110111100 E +b110111000 - +b110111000 5 +b110111000 G +b110111000 U +1$ +#1102 +0$ +#1103 +b10 R +b1010100 f +1$ +#1104 +0$ +#1105 +b1010101 f +b11 R +1$ +#1106 +0$ +#1107 +b100 R +b1010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1108 +0$ +#1109 +b1010111 f +b0 R +0% +0M +b110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1110 +0$ +#1111 +b1 R +b111000000 E +b110111100 - +b110111100 5 +b110111100 G +b110111100 U +b1011000 f +1$ +#1112 +0$ +#1113 +b1011001 f +b10 R +1$ +#1114 +0$ +#1115 +b11 R +b1011010 f +1$ +#1116 +0$ +#1117 +b1011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1118 +0$ +#1119 +b0 R +0% +0M +b111000000 D +b1011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1120 +0$ +#1121 +b1011101 f +b1 R +b111000100 E +b111000000 - +b111000000 5 +b111000000 G +b111000000 U +1$ +#1122 +0$ +#1123 +b10 R +b1011110 f +1$ +#1124 +0$ +#1125 +b1011111 f +b11 R +1$ +#1126 +0$ +#1127 +b100 R +b1100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1128 +0$ +#1129 +b1100001 f +b0 R +0% +0M +b111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1130 +0$ +#1131 +b1 R +b111001000 E +b111000100 - +b111000100 5 +b111000100 G +b111000100 U +b1100010 f +1$ +#1132 +0$ +#1133 +b1100011 f +b10 R +1$ +#1134 +0$ +#1135 +b11 R +b1100100 f +1$ +#1136 +0$ +#1137 +b1100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1138 +0$ +#1139 +b0 R +0% +0M +b111001000 D +b1100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1140 +0$ +#1141 +b1100111 f +b1 R +b111001100 E +b111001000 - +b111001000 5 +b111001000 G +b111001000 U +1$ +#1142 +0$ +#1143 +b10 R +b1101000 f +1$ +#1144 +0$ +#1145 +b1101001 f +b11 R +1$ +#1146 +0$ +#1147 +b100 R +b1101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1148 +0$ +#1149 +b1101011 f +b0 R +0% +0M +b111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1150 +0$ +#1151 +b1 R +b111010000 E +b111001100 - +b111001100 5 +b111001100 G +b111001100 U +b1101100 f +1$ +#1152 +0$ +#1153 +b1101101 f +b10 R +1$ +#1154 +0$ +#1155 +b11 R +b1101110 f +1$ +#1156 +0$ +#1157 +b1101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1158 +0$ +#1159 +b0 R +0% +0M +b111010000 D +b1110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1160 +0$ +#1161 +b1110001 f +b1 R +b111010100 E +b111010000 - +b111010000 5 +b111010000 G +b111010000 U +1$ +#1162 +0$ +#1163 +b10 R +b1110010 f +1$ +#1164 +0$ +#1165 +b1110011 f +b11 R +1$ +#1166 +0$ +#1167 +b100 R +b1110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1168 +0$ +#1169 +b1110101 f +b0 R +0% +0M +b111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1170 +0$ +#1171 +b1 R +b111011000 E +b111010100 - +b111010100 5 +b111010100 G +b111010100 U +b1110110 f +1$ +#1172 +0$ +#1173 +b1110111 f +b10 R +1$ +#1174 +0$ +#1175 +b11 R +b1111000 f +1$ +#1176 +0$ +#1177 +b1111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1178 +0$ +#1179 +b0 R +0% +0M +b111011000 D +b1111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1180 +0$ +#1181 +b1111011 f +b1 R +b111011100 E +b111011000 - +b111011000 5 +b111011000 G +b111011000 U +1$ +#1182 +0$ +#1183 +b10 R +b1111100 f +1$ +#1184 +0$ +#1185 +b1111101 f +b11 R +1$ +#1186 +0$ +#1187 +b100 R +b1111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1188 +0$ +#1189 +b1111111 f +b0 R +0% +0M +b111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1190 +0$ +#1191 +b1 R +b111100000 E +b111011100 - +b111011100 5 +b111011100 G +b111011100 U +b10000000 f +1$ +#1192 +0$ +#1193 +b10000001 f +b10 R +1$ +#1194 +0$ +#1195 +b11 R +b10000010 f +1$ +#1196 +0$ +#1197 +b10000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1198 +0$ +#1199 +b0 R +0% +0M +b111100000 D +b10000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1200 +0$ +#1201 +b10000101 f +b1 R +b111100100 E +b111100000 - +b111100000 5 +b111100000 G +b111100000 U +1$ +#1202 +0$ +#1203 +b10 R +b10000110 f +1$ +#1204 +0$ +#1205 +b10000111 f +b11 R +1$ +#1206 +0$ +#1207 +b100 R +b10001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1208 +0$ +#1209 +b10001001 f +b0 R +0% +0M +b111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1210 +0$ +#1211 +b1 R +b111101000 E +b111100100 - +b111100100 5 +b111100100 G +b111100100 U +b10001010 f +1$ +#1212 +0$ +#1213 +b10001011 f +b10 R +1$ +#1214 +0$ +#1215 +b11 R +b10001100 f +1$ +#1216 +0$ +#1217 +b10001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1218 +0$ +#1219 +b0 R +0% +0M +b111101000 D +b10001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1220 +0$ +#1221 +b10001111 f +b1 R +b111101100 E +b111101000 - +b111101000 5 +b111101000 G +b111101000 U +1$ +#1222 +0$ +#1223 +b10 R +b10010000 f +1$ +#1224 +0$ +#1225 +b10010001 f +b11 R +1$ +#1226 +0$ +#1227 +b100 R +b10010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1228 +0$ +#1229 +b10010011 f +b0 R +0% +0M +b111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1230 +0$ +#1231 +b1 R +b111110000 E +b111101100 - +b111101100 5 +b111101100 G +b111101100 U +b10010100 f +1$ +#1232 +0$ +#1233 +b10010101 f +b10 R +1$ +#1234 +0$ +#1235 +b11 R +b10010110 f +1$ +#1236 +0$ +#1237 +b10010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1238 +0$ +#1239 +b0 R +0% +0M +b111110000 D +b10011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1240 +0$ +#1241 +b10011001 f +b1 R +b111110100 E +b111110000 - +b111110000 5 +b111110000 G +b111110000 U +1$ +#1242 +0$ +#1243 +b10 R +b10011010 f +1$ +#1244 +0$ +#1245 +b10011011 f +b11 R +1$ +#1246 +0$ +#1247 +b100 R +b10011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1248 +0$ +#1249 +b10011101 f +b0 R +0% +0M +b111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1250 +0$ +#1251 +b1 R +b111111000 E +b111110100 - +b111110100 5 +b111110100 G +b111110100 U +b10011110 f +1$ +#1252 +0$ +#1253 +b10011111 f +b10 R +1$ +#1254 +0$ +#1255 +b11 R +b10100000 f +1$ +#1256 +0$ +#1257 +b10100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1258 +0$ +#1259 +b0 R +0% +0M +b111111000 D +b10100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1260 +0$ +#1261 +b10100011 f +b1 R +b111111100 E +b111111000 - +b111111000 5 +b111111000 G +b111111000 U +1$ +#1262 +0$ +#1263 +b10 R +b10100100 f +1$ +#1264 +0$ +#1265 +b10100101 f +b11 R +1$ +#1266 +0$ +#1267 +b100 R +b10100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1268 +0$ +#1269 +b10100111 f +b0 R +0% +0M +b111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1270 +0$ +#1271 +b1 R +b1000000000 E +b111111100 - +b111111100 5 +b111111100 G +b111111100 U +b10101000 f +1$ +#1272 +0$ +#1273 +b10101001 f +b10 R +1$ +#1274 +0$ +#1275 +b11 R +b10101010 f +1$ +#1276 +0$ +#1277 +b10101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1278 +0$ +#1279 +b0 R +0% +0M +b1000000000 D +b10101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1280 +0$ +#1281 +b10101101 f +b1 R +b1000000100 E +b1000000000 - +b1000000000 5 +b1000000000 G +b1000000000 U +1$ +#1282 +0$ +#1283 +b10 R +b10101110 f +1$ +#1284 +0$ +#1285 +b10101111 f +b11 R +1$ +#1286 +0$ +#1287 +b100 R +b10110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1288 +0$ +#1289 +b10110001 f +b0 R +0% +0M +b1000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1290 +0$ +#1291 +b1 R +b1000001000 E +b1000000100 - +b1000000100 5 +b1000000100 G +b1000000100 U +b10110010 f +1$ +#1292 +0$ +#1293 +b10110011 f +b10 R +1$ +#1294 +0$ +#1295 +b11 R +b10110100 f +1$ +#1296 +0$ +#1297 +b10110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1298 +0$ +#1299 +b0 R +0% +0M +b1000001000 D +b10110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1300 +0$ +#1301 +b10110111 f +b1 R +b1000001100 E +b1000001000 - +b1000001000 5 +b1000001000 G +b1000001000 U +1$ +#1302 +0$ +#1303 +b10 R +b10111000 f +1$ +#1304 +0$ +#1305 +b10111001 f +b11 R +1$ +#1306 +0$ +#1307 +b100 R +b10111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1308 +0$ +#1309 +b10111011 f +b0 R +0% +0M +b1000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1310 +0$ +#1311 +b1 R +b1000010000 E +b1000001100 - +b1000001100 5 +b1000001100 G +b1000001100 U +b10111100 f +1$ +#1312 +0$ +#1313 +b10111101 f +b10 R +1$ +#1314 +0$ +#1315 +b11 R +b10111110 f +1$ +#1316 +0$ +#1317 +b10111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1318 +0$ +#1319 +b0 R +0% +0M +b1000010000 D +b11000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1320 +0$ +#1321 +b11000001 f +b1 R +b1000010100 E +b1000010000 - +b1000010000 5 +b1000010000 G +b1000010000 U +1$ +#1322 +0$ +#1323 +b10 R +b11000010 f +1$ +#1324 +0$ +#1325 +b11000011 f +b11 R +1$ +#1326 +0$ +#1327 +b100 R +b11000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1328 +0$ +#1329 +b11000101 f +b0 R +0% +0M +b1000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1330 +0$ +#1331 +b1 R +b1000011000 E +b1000010100 - +b1000010100 5 +b1000010100 G +b1000010100 U +b11000110 f +1$ +#1332 +0$ +#1333 +b11000111 f +b10 R +1$ +#1334 +0$ +#1335 +b11 R +b11001000 f +1$ +#1336 +0$ +#1337 +b11001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1338 +0$ +#1339 +b0 R +0% +0M +b1000011000 D +b11001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1340 +0$ +#1341 +b11001011 f +b1 R +b1000011100 E +b1000011000 - +b1000011000 5 +b1000011000 G +b1000011000 U +1$ +#1342 +0$ +#1343 +b10 R +b11001100 f +1$ +#1344 +0$ +#1345 +b11001101 f +b11 R +1$ +#1346 +0$ +#1347 +b100 R +b11001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1348 +0$ +#1349 +b11001111 f +b0 R +0% +0M +b1000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1350 +0$ +#1351 +b1 R +b1000100000 E +b1000011100 - +b1000011100 5 +b1000011100 G +b1000011100 U +b11010000 f +1$ +#1352 +0$ +#1353 +b11010001 f +b10 R +1$ +#1354 +0$ +#1355 +b11 R +b11010010 f +1$ +#1356 +0$ +#1357 +b11010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1358 +0$ +#1359 +b0 R +0% +0M +b1000100000 D +b11010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1360 +0$ +#1361 +b11010101 f +b1 R +b1000100100 E +b1000100000 - +b1000100000 5 +b1000100000 G +b1000100000 U +1$ +#1362 +0$ +#1363 +b10 R +b11010110 f +1$ +#1364 +0$ +#1365 +b11010111 f +b11 R +1$ +#1366 +0$ +#1367 +b100 R +b11011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1368 +0$ +#1369 +b11011001 f +b0 R +0% +0M +b1000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1370 +0$ +#1371 +b1 R +b1000101000 E +b1000100100 - +b1000100100 5 +b1000100100 G +b1000100100 U +b11011010 f +1$ +#1372 +0$ +#1373 +b11011011 f +b10 R +1$ +#1374 +0$ +#1375 +b11 R +b11011100 f +1$ +#1376 +0$ +#1377 +b11011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1378 +0$ +#1379 +b0 R +0% +0M +b1000101000 D +b11011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1380 +0$ +#1381 +b11011111 f +b1 R +b1000101100 E +b1000101000 - +b1000101000 5 +b1000101000 G +b1000101000 U +1$ +#1382 +0$ +#1383 +b10 R +b11100000 f +1$ +#1384 +0$ +#1385 +b11100001 f +b11 R +1$ +#1386 +0$ +#1387 +b100 R +b11100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1388 +0$ +#1389 +b11100011 f +b0 R +0% +0M +b1000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1390 +0$ +#1391 +b1 R +b1000110000 E +b1000101100 - +b1000101100 5 +b1000101100 G +b1000101100 U +b11100100 f +1$ +#1392 +0$ +#1393 +b11100101 f +b10 R +1$ +#1394 +0$ +#1395 +b11 R +b11100110 f +1$ +#1396 +0$ +#1397 +b11100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1398 +0$ +#1399 +b0 R +0% +0M +b1000110000 D +b11101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1400 +0$ +#1401 +b11101001 f +b1 R +b1000110100 E +b1000110000 - +b1000110000 5 +b1000110000 G +b1000110000 U +1$ +#1402 +0$ +#1403 +b10 R +1] +b0 f +1$ +#1404 +0$ +#1405 +0] +b1 f +b1 k +b11 R +1$ +#1406 +0$ +#1407 +b100 R +0! +0m +b10 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1408 +0$ +#1409 +b11 f +b0 R +0% +0M +b1000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1410 +0$ +#1411 +b1 R +b1000111000 E +b1000110100 - +b1000110100 5 +b1000110100 G +b1000110100 U +b100 f +1$ +#1412 +0$ +#1413 +b101 f +b10 R +1$ +#1414 +0$ +#1415 +b11 R +b110 f +1$ +#1416 +0$ +#1417 +b111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1418 +0$ +#1419 +b0 R +0% +0M +b1000111000 D +b1000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1420 +0$ +#1421 +b1001 f +b1 R +b1000111100 E +b1000111000 - +b1000111000 5 +b1000111000 G +b1000111000 U +1$ +#1422 +0$ +#1423 +b10 R +b1010 f +1$ +#1424 +0$ +#1425 +b1011 f +b11 R +1$ +#1426 +0$ +#1427 +b100 R +b1100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1428 +0$ +#1429 +b1101 f +b0 R +0% +0M +b1000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1430 +0$ +#1431 +b1 R +b1001000000 E +b1000111100 - +b1000111100 5 +b1000111100 G +b1000111100 U +b1110 f +1$ +#1432 +0$ +#1433 +b1111 f +b10 R +1$ +#1434 +0$ +#1435 +b11 R +b10000 f +1$ +#1436 +0$ +#1437 +b10001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1438 +0$ +#1439 +b0 R +0% +0M +b1001000000 D +b10010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1440 +0$ +#1441 +b10011 f +b1 R +b1001000100 E +b1001000000 - +b1001000000 5 +b1001000000 G +b1001000000 U +1$ +#1442 +0$ +#1443 +b10 R +b10100 f +1$ +#1444 +0$ +#1445 +b10101 f +b11 R +1$ +#1446 +0$ +#1447 +b100 R +b10110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1448 +0$ +#1449 +b10111 f +b0 R +0% +0M +b1001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1450 +0$ +#1451 +b1 R +b1001001000 E +b1001000100 - +b1001000100 5 +b1001000100 G +b1001000100 U +b11000 f +1$ +#1452 +0$ +#1453 +b11001 f +b10 R +1$ +#1454 +0$ +#1455 +b11 R +b11010 f +1$ +#1456 +0$ +#1457 +b11011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1458 +0$ +#1459 +b0 R +0% +0M +b1001001000 D +b11100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1460 +0$ +#1461 +b11101 f +b1 R +b1001001100 E +b1001001000 - +b1001001000 5 +b1001001000 G +b1001001000 U +1$ +#1462 +0$ +#1463 +b10 R +b11110 f +1$ +#1464 +0$ +#1465 +b11111 f +b11 R +1$ +#1466 +0$ +#1467 +b100 R +b100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1468 +0$ +#1469 +b100001 f +b0 R +0% +0M +b1001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1470 +0$ +#1471 +b1 R +b1001010000 E +b1001001100 - +b1001001100 5 +b1001001100 G +b1001001100 U +b100010 f +1$ +#1472 +0$ +#1473 +b100011 f +b10 R +1$ +#1474 +0$ +#1475 +b11 R +b100100 f +1$ +#1476 +0$ +#1477 +b100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1478 +0$ +#1479 +b0 R +0% +0M +b1001010000 D +b100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1480 +0$ +#1481 +b100111 f +b1 R +b1001010100 E +b1001010000 - +b1001010000 5 +b1001010000 G +b1001010000 U +1$ +#1482 +0$ +#1483 +b10 R +b101000 f +1$ +#1484 +0$ +#1485 +b101001 f +b11 R +1$ +#1486 +0$ +#1487 +b100 R +b101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1488 +0$ +#1489 +b101011 f +b0 R +0% +0M +b1001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1490 +0$ +#1491 +b1 R +b1001011000 E +b1001010100 - +b1001010100 5 +b1001010100 G +b1001010100 U +b101100 f +1$ +#1492 +0$ +#1493 +b101101 f +b10 R +1$ +#1494 +0$ +#1495 +b11 R +b101110 f +1$ +#1496 +0$ +#1497 +b101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1498 +0$ +#1499 +b0 R +0% +0M +b1001011000 D +b110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1500 +0$ +#1501 +b110001 f +b1 R +b1001011100 E +b1001011000 - +b1001011000 5 +b1001011000 G +b1001011000 U +1$ +#1502 +0$ +#1503 +b10 R +b110010 f +1$ +#1504 +0$ +#1505 +b110011 f +b11 R +1$ +#1506 +0$ +#1507 +b100 R +b110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1508 +0$ +#1509 +b110101 f +b0 R +0% +0M +b1001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1510 +0$ +#1511 +b1 R +b1001100000 E +b1001011100 - +b1001011100 5 +b1001011100 G +b1001011100 U +b110110 f +1$ +#1512 +0$ +#1513 +b110111 f +b10 R +1$ +#1514 +0$ +#1515 +b11 R +b111000 f +1$ +#1516 +0$ +#1517 +b111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1518 +0$ +#1519 +b0 R +0% +0M +b1001100000 D +b111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1520 +0$ +#1521 +b111011 f +b1 R +b1001100100 E +b1001100000 - +b1001100000 5 +b1001100000 G +b1001100000 U +1$ +#1522 +0$ +#1523 +b10 R +b111100 f +1$ +#1524 +0$ +#1525 +b111101 f +b11 R +1$ +#1526 +0$ +#1527 +b100 R +b111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1528 +0$ +#1529 +b111111 f +b0 R +0% +0M +b1001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1530 +0$ +#1531 +b1 R +b1001101000 E +b1001100100 - +b1001100100 5 +b1001100100 G +b1001100100 U +b1000000 f +1$ +#1532 +0$ +#1533 +b1000001 f +b10 R +1$ +#1534 +0$ +#1535 +b11 R +b1000010 f +1$ +#1536 +0$ +#1537 +b1000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1538 +0$ +#1539 +b0 R +0% +0M +b1001101000 D +b1000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1540 +0$ +#1541 +b1000101 f +b1 R +b1001101100 E +b1001101000 - +b1001101000 5 +b1001101000 G +b1001101000 U +1$ +#1542 +0$ +#1543 +b10 R +b1000110 f +1$ +#1544 +0$ +#1545 +b1000111 f +b11 R +1$ +#1546 +0$ +#1547 +b100 R +b1001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1548 +0$ +#1549 +b1001001 f +b0 R +0% +0M +b1001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1550 +0$ +#1551 +b1 R +b1001110000 E +b1001101100 - +b1001101100 5 +b1001101100 G +b1001101100 U +b1001010 f +1$ +#1552 +0$ +#1553 +b1001011 f +b10 R +1$ +#1554 +0$ +#1555 +b11 R +b1001100 f +1$ +#1556 +0$ +#1557 +b1001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1558 +0$ +#1559 +b0 R +0% +0M +b1001110000 D +b1001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1560 +0$ +#1561 +b1001111 f +b1 R +b1001110100 E +b1001110000 - +b1001110000 5 +b1001110000 G +b1001110000 U +1$ +#1562 +0$ +#1563 +b10 R +b1010000 f +1$ +#1564 +0$ +#1565 +b1010001 f +b11 R +1$ +#1566 +0$ +#1567 +b100 R +b1010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1568 +0$ +#1569 +b1010011 f +b0 R +0% +0M +b1001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1570 +0$ +#1571 +b1 R +b1001111000 E +b1001110100 - +b1001110100 5 +b1001110100 G +b1001110100 U +b1010100 f +1$ +#1572 +0$ +#1573 +b1010101 f +b10 R +1$ +#1574 +0$ +#1575 +b11 R +b1010110 f +1$ +#1576 +0$ +#1577 +b1010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1578 +0$ +#1579 +b0 R +0% +0M +b1001111000 D +b1011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1580 +0$ +#1581 +b1011001 f +b1 R +b1001111100 E +b1001111000 - +b1001111000 5 +b1001111000 G +b1001111000 U +1$ +#1582 +0$ +#1583 +b10 R +b1011010 f +1$ +#1584 +0$ +#1585 +b1011011 f +b11 R +1$ +#1586 +0$ +#1587 +b100 R +b1011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1588 +0$ +#1589 +b1011101 f +b0 R +0% +0M +b1001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1590 +0$ +#1591 +b1 R +b1010000000 E +b1001111100 - +b1001111100 5 +b1001111100 G +b1001111100 U +b1011110 f +1$ +#1592 +0$ +#1593 +b1011111 f +b10 R +1$ +#1594 +0$ +#1595 +b11 R +b1100000 f +1$ +#1596 +0$ +#1597 +b1100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1598 +0$ +#1599 +b0 R +0% +0M +b1010000000 D +b1100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1600 +0$ +#1601 +b1100011 f +b1 R +b1010000100 E +b1010000000 - +b1010000000 5 +b1010000000 G +b1010000000 U +1$ +#1602 +0$ +#1603 +b10 R +b1100100 f +1$ +#1604 +0$ +#1605 +b1100101 f +b11 R +1$ +#1606 +0$ +#1607 +b100 R +b1100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1608 +0$ +#1609 +b1100111 f +b0 R +0% +0M +b1010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1610 +0$ +#1611 +b1 R +b1010001000 E +b1010000100 - +b1010000100 5 +b1010000100 G +b1010000100 U +b1101000 f +1$ +#1612 +0$ +#1613 +b1101001 f +b10 R +1$ +#1614 +0$ +#1615 +b11 R +b1101010 f +1$ +#1616 +0$ +#1617 +b1101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1618 +0$ +#1619 +b0 R +0% +0M +b1010001000 D +b1101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1620 +0$ +#1621 +b1101101 f +b1 R +b1010001100 E +b1010001000 - +b1010001000 5 +b1010001000 G +b1010001000 U +1$ +#1622 +0$ +#1623 +b10 R +b1101110 f +1$ +#1624 +0$ +#1625 +b1101111 f +b11 R +1$ +#1626 +0$ +#1627 +b100 R +b1110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1628 +0$ +#1629 +b1110001 f +b0 R +0% +0M +b1010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1630 +0$ +#1631 +b1 R +b1010010000 E +b1010001100 - +b1010001100 5 +b1010001100 G +b1010001100 U +b1110010 f +1$ +#1632 +0$ +#1633 +b1110011 f +b10 R +1$ +#1634 +0$ +#1635 +b11 R +b1110100 f +1$ +#1636 +0$ +#1637 +b1110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1638 +0$ +#1639 +b0 R +0% +0M +b1010010000 D +b1110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1640 +0$ +#1641 +b1110111 f +b1 R +b1010010100 E +b1010010000 - +b1010010000 5 +b1010010000 G +b1010010000 U +1$ +#1642 +0$ +#1643 +b10 R +b1111000 f +1$ +#1644 +0$ +#1645 +b1111001 f +b11 R +1$ +#1646 +0$ +#1647 +b100 R +b1111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1648 +0$ +#1649 +b1111011 f +b0 R +0% +0M +b1010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1650 +0$ +#1651 +b1 R +b1010011000 E +b1010010100 - +b1010010100 5 +b1010010100 G +b1010010100 U +b1111100 f +1$ +#1652 +0$ +#1653 +b1111101 f +b10 R +1$ +#1654 +0$ +#1655 +b11 R +b1111110 f +1$ +#1656 +0$ +#1657 +b1111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1658 +0$ +#1659 +b0 R +0% +0M +b1010011000 D +b10000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1660 +0$ +#1661 +b10000001 f +b1 R +b1010011100 E +b1010011000 - +b1010011000 5 +b1010011000 G +b1010011000 U +1$ +#1662 +0$ +#1663 +b10 R +b10000010 f +1$ +#1664 +0$ +#1665 +b10000011 f +b11 R +1$ +#1666 +0$ +#1667 +b100 R +b10000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1668 +0$ +#1669 +b10000101 f +b0 R +0% +0M +b1010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1670 +0$ +#1671 +b1 R +b1010100000 E +b1010011100 - +b1010011100 5 +b1010011100 G +b1010011100 U +b10000110 f +1$ +#1672 +0$ +#1673 +b10000111 f +b10 R +1$ +#1674 +0$ +#1675 +b11 R +b10001000 f +1$ +#1676 +0$ +#1677 +b10001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1678 +0$ +#1679 +b0 R +0% +0M +b1010100000 D +b10001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1680 +0$ +#1681 +b10001011 f +b1 R +b1010100100 E +b1010100000 - +b1010100000 5 +b1010100000 G +b1010100000 U +1$ +#1682 +0$ +#1683 +b10 R +b10001100 f +1$ +#1684 +0$ +#1685 +b10001101 f +b11 R +1$ +#1686 +0$ +#1687 +b100 R +b10001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1688 +0$ +#1689 +b10001111 f +b0 R +0% +0M +b1010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1690 +0$ +#1691 +b1 R +b1010101000 E +b1010100100 - +b1010100100 5 +b1010100100 G +b1010100100 U +b10010000 f +1$ +#1692 +0$ +#1693 +b10010001 f +b10 R +1$ +#1694 +0$ +#1695 +b11 R +b10010010 f +1$ +#1696 +0$ +#1697 +b10010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1698 +0$ +#1699 +b0 R +0% +0M +b1010101000 D +b10010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1700 +0$ +#1701 +b10010101 f +b1 R +b1010101100 E +b1010101000 - +b1010101000 5 +b1010101000 G +b1010101000 U +1$ +#1702 +0$ +#1703 +b10 R +b10010110 f +1$ +#1704 +0$ +#1705 +b10010111 f +b11 R +1$ +#1706 +0$ +#1707 +b100 R +b10011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1708 +0$ +#1709 +b10011001 f +b0 R +0% +0M +b1010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1710 +0$ +#1711 +b1 R +b1010110000 E +b1010101100 - +b1010101100 5 +b1010101100 G +b1010101100 U +b10011010 f +1$ +#1712 +0$ +#1713 +b10011011 f +b10 R +1$ +#1714 +0$ +#1715 +b11 R +b10011100 f +1$ +#1716 +0$ +#1717 +b10011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1718 +0$ +#1719 +b0 R +0% +0M +b1010110000 D +b10011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1720 +0$ +#1721 +b10011111 f +b1 R +b1010110100 E +b1010110000 - +b1010110000 5 +b1010110000 G +b1010110000 U +1$ +#1722 +0$ +#1723 +b10 R +b10100000 f +1$ +#1724 +0$ +#1725 +b10100001 f +b11 R +1$ +#1726 +0$ +#1727 +b100 R +b10100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1728 +0$ +#1729 +b10100011 f +b0 R +0% +0M +b1010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1730 +0$ +#1731 +b1 R +b1010111000 E +b1010110100 - +b1010110100 5 +b1010110100 G +b1010110100 U +b10100100 f +1$ +#1732 +0$ +#1733 +b10100101 f +b10 R +1$ +#1734 +0$ +#1735 +b11 R +b10100110 f +1$ +#1736 +0$ +#1737 +b10100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1738 +0$ +#1739 +b0 R +0% +0M +b1010111000 D +b10101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1740 +0$ +#1741 +b10101001 f +b1 R +b1010111100 E +b1010111000 - +b1010111000 5 +b1010111000 G +b1010111000 U +1$ +#1742 +0$ +#1743 +b10 R +b10101010 f +1$ +#1744 +0$ +#1745 +b10101011 f +b11 R +1$ +#1746 +0$ +#1747 +b100 R +b10101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1748 +0$ +#1749 +b10101101 f +b0 R +0% +0M +b1010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1750 +0$ +#1751 +b1 R +b1011000000 E +b1010111100 - +b1010111100 5 +b1010111100 G +b1010111100 U +b10101110 f +1$ +#1752 +0$ +#1753 +b10101111 f +b10 R +1$ +#1754 +0$ +#1755 +b11 R +b10110000 f +1$ +#1756 +0$ +#1757 +b10110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1758 +0$ +#1759 +b0 R +0% +0M +b1011000000 D +b10110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1760 +0$ +#1761 +b10110011 f +b1 R +b1011000100 E +b1011000000 - +b1011000000 5 +b1011000000 G +b1011000000 U +1$ +#1762 +0$ +#1763 +b10 R +b10110100 f +1$ +#1764 +0$ +#1765 +b10110101 f +b11 R +1$ +#1766 +0$ +#1767 +b100 R +b10110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1768 +0$ +#1769 +b10110111 f +b0 R +0% +0M +b1011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1770 +0$ +#1771 +b1 R +b1011001000 E +b1011000100 - +b1011000100 5 +b1011000100 G +b1011000100 U +b10111000 f +1$ +#1772 +0$ +#1773 +b10111001 f +b10 R +1$ +#1774 +0$ +#1775 +b11 R +b10111010 f +1$ +#1776 +0$ +#1777 +b10111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1778 +0$ +#1779 +b0 R +0% +0M +b1011001000 D +b10111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1780 +0$ +#1781 +b10111101 f +b1 R +b1011001100 E +b1011001000 - +b1011001000 5 +b1011001000 G +b1011001000 U +1$ +#1782 +0$ +#1783 +b10 R +b10111110 f +1$ +#1784 +0$ +#1785 +b10111111 f +b11 R +1$ +#1786 +0$ +#1787 +b100 R +b11000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1788 +0$ +#1789 +b11000001 f +b0 R +0% +0M +b1011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1790 +0$ +#1791 +b1 R +b1011010000 E +b1011001100 - +b1011001100 5 +b1011001100 G +b1011001100 U +b11000010 f +1$ +#1792 +0$ +#1793 +b11000011 f +b10 R +1$ +#1794 +0$ +#1795 +b11 R +b11000100 f +1$ +#1796 +0$ +#1797 +b11000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1798 +0$ +#1799 +b0 R +0% +0M +b1011010000 D +b11000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1800 +0$ +#1801 +b11000111 f +b1 R +b1011010100 E +b1011010000 - +b1011010000 5 +b1011010000 G +b1011010000 U +1$ +#1802 +0$ +#1803 +b10 R +b11001000 f +1$ +#1804 +0$ +#1805 +b11001001 f +b11 R +1$ +#1806 +0$ +#1807 +b100 R +b11001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1808 +0$ +#1809 +b11001011 f +b0 R +0% +0M +b1011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1810 +0$ +#1811 +b1 R +b1011011000 E +b1011010100 - +b1011010100 5 +b1011010100 G +b1011010100 U +b11001100 f +1$ +#1812 +0$ +#1813 +b11001101 f +b10 R +1$ +#1814 +0$ +#1815 +b11 R +b11001110 f +1$ +#1816 +0$ +#1817 +b11001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1818 +0$ +#1819 +b0 R +0% +0M +b1011011000 D +b11010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1820 +0$ +#1821 +b11010001 f +b1 R +b1011011100 E +b1011011000 - +b1011011000 5 +b1011011000 G +b1011011000 U +1$ +#1822 +0$ +#1823 +b10 R +b11010010 f +1$ +#1824 +0$ +#1825 +b11010011 f +b11 R +1$ +#1826 +0$ +#1827 +b100 R +b11010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1828 +0$ +#1829 +b11010101 f +b0 R +0% +0M +b1011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1830 +0$ +#1831 +b1 R +b1011100000 E +b1011011100 - +b1011011100 5 +b1011011100 G +b1011011100 U +b11010110 f +1$ +#1832 +0$ +#1833 +b11010111 f +b10 R +1$ +#1834 +0$ +#1835 +b11 R +b11011000 f +1$ +#1836 +0$ +#1837 +b11011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1838 +0$ +#1839 +b0 R +0% +0M +b1011100000 D +b11011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1840 +0$ +#1841 +b11011011 f +b1 R +b1011100100 E +b1011100000 - +b1011100000 5 +b1011100000 G +b1011100000 U +1$ +#1842 +0$ +#1843 +b10 R +b11011100 f +1$ +#1844 +0$ +#1845 +b11011101 f +b11 R +1$ +#1846 +0$ +#1847 +b100 R +b11011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1848 +0$ +#1849 +b11011111 f +b0 R +0% +0M +b1011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1850 +0$ +#1851 +b1 R +b1011101000 E +b1011100100 - +b1011100100 5 +b1011100100 G +b1011100100 U +b11100000 f +1$ +#1852 +0$ +#1853 +b11100001 f +b10 R +1$ +#1854 +0$ +#1855 +b11 R +b11100010 f +1$ +#1856 +0$ +#1857 +b11100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1858 +0$ +#1859 +b0 R +0% +0M +b1011101000 D +b11100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1860 +0$ +#1861 +b11100101 f +b1 R +b1011101100 E +b1011101000 - +b1011101000 5 +b1011101000 G +b1011101000 U +1$ +#1862 +0$ +#1863 +b10 R +b11100110 f +1$ +#1864 +0$ +#1865 +b11100111 f +b11 R +1$ +#1866 +0$ +#1867 +b100 R +b11101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1868 +0$ +#1869 +b11101001 f +b0 R +0% +0M +b1011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1870 +0$ +#1871 +b1 R +b1011110000 E +b1011101100 - +b1011101100 5 +b1011101100 G +b1011101100 U +1] +b0 f +1$ +#1872 +0$ +#1873 +0] +b1 f +b10 k +b10 R +1$ +#1874 +0$ +#1875 +b11 R +b10 f +1$ +#1876 +0$ +#1877 +b11 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1878 +0$ +#1879 +b0 R +0% +0M +b1011110000 D +b100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1880 +0$ +#1881 +b101 f +b1 R +b1011110100 E +b1011110000 - +b1011110000 5 +b1011110000 G +b1011110000 U +1$ +#1882 +0$ +#1883 +b10 R +b110 f +1$ +#1884 +0$ +#1885 +b111 f +b11 R +1$ +#1886 +0$ +#1887 +b100 R +b1000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1888 +0$ +#1889 +b1001 f +b0 R +0% +0M +b1011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1890 +0$ +#1891 +b1 R +b1011111000 E +b1011110100 - +b1011110100 5 +b1011110100 G +b1011110100 U +b1010 f +1$ +#1892 +0$ +#1893 +b1011 f +b10 R +1$ +#1894 +0$ +#1895 +b11 R +b1100 f +1$ +#1896 +0$ +#1897 +b1101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1898 +0$ +#1899 +b0 R +0% +0M +b1011111000 D +b1110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1900 +0$ +#1901 +b1111 f +b1 R +b1011111100 E +b1011111000 - +b1011111000 5 +b1011111000 G +b1011111000 U +1$ +#1902 +0$ +#1903 +b10 R +b10000 f +1$ +#1904 +0$ +#1905 +b10001 f +b11 R +1$ +#1906 +0$ +#1907 +b100 R +b10010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1908 +0$ +#1909 +b10011 f +b0 R +0% +0M +b1011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1910 +0$ +#1911 +b1 R +b1100000000 E +b1011111100 - +b1011111100 5 +b1011111100 G +b1011111100 U +b10100 f +1$ +#1912 +0$ +#1913 +b10101 f +b10 R +1$ +#1914 +0$ +#1915 +b11 R +b10110 f +1$ +#1916 +0$ +#1917 +b10111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1918 +0$ +#1919 +b0 R +0% +0M +b1100000000 D +b11000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1920 +0$ +#1921 +b11001 f +b1 R +b1100000100 E +b1100000000 - +b1100000000 5 +b1100000000 G +b1100000000 U +1$ +#1922 +0$ +#1923 +b10 R +b11010 f +1$ +#1924 +0$ +#1925 +b11011 f +b11 R +1$ +#1926 +0$ +#1927 +b100 R +b11100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1928 +0$ +#1929 +b11101 f +b0 R +0% +0M +b1100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1930 +0$ +#1931 +b1 R +b1100001000 E +b1100000100 - +b1100000100 5 +b1100000100 G +b1100000100 U +b11110 f +1$ +#1932 +0$ +#1933 +b11111 f +b10 R +1$ +#1934 +0$ +#1935 +b11 R +b100000 f +1$ +#1936 +0$ +#1937 +b100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1938 +0$ +#1939 +b0 R +0% +0M +b1100001000 D +b100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1940 +0$ +#1941 +b100011 f +b1 R +b1100001100 E +b1100001000 - +b1100001000 5 +b1100001000 G +b1100001000 U +1$ +#1942 +0$ +#1943 +b10 R +b100100 f +1$ +#1944 +0$ +#1945 +b100101 f +b11 R +1$ +#1946 +0$ +#1947 +b100 R +b100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1948 +0$ +#1949 +b100111 f +b0 R +0% +0M +b1100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1950 +0$ +#1951 +b1 R +b1100010000 E +b1100001100 - +b1100001100 5 +b1100001100 G +b1100001100 U +b101000 f +1$ +#1952 +0$ +#1953 +b101001 f +b10 R +1$ +#1954 +0$ +#1955 +b11 R +b101010 f +1$ +#1956 +0$ +#1957 +b101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1958 +0$ +#1959 +b0 R +0% +0M +b1100010000 D +b101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1960 +0$ +#1961 +b101101 f +b1 R +b1100010100 E +b1100010000 - +b1100010000 5 +b1100010000 G +b1100010000 U +1$ +#1962 +0$ +#1963 +b10 R +b101110 f +1$ +#1964 +0$ +#1965 +b101111 f +b11 R +1$ +#1966 +0$ +#1967 +b100 R +b110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1968 +0$ +#1969 +b110001 f +b0 R +0% +0M +b1100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1970 +0$ +#1971 +b1 R +b1100011000 E +b1100010100 - +b1100010100 5 +b1100010100 G +b1100010100 U +b110010 f +1$ +#1972 +0$ +#1973 +b110011 f +b10 R +1$ +#1974 +0$ +#1975 +b11 R +b110100 f +1$ +#1976 +0$ +#1977 +b110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1978 +0$ +#1979 +b0 R +0% +0M +b1100011000 D +b110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1980 +0$ +#1981 +b110111 f +b1 R +b1100011100 E +b1100011000 - +b1100011000 5 +b1100011000 G +b1100011000 U +1$ +#1982 +0$ +#1983 +b10 R +b111000 f +1$ +#1984 +0$ +#1985 +b111001 f +b11 R +1$ +#1986 +0$ +#1987 +b100 R +b111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1988 +0$ +#1989 +b111011 f +b0 R +0% +0M +b1100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#1990 +0$ +#1991 +b1 R +b1100100000 E +b1100011100 - +b1100011100 5 +b1100011100 G +b1100011100 U +b111100 f +1$ +#1992 +0$ +#1993 +b111101 f +b10 R +1$ +#1994 +0$ +#1995 +b11 R +b111110 f +1$ +#1996 +0$ +#1997 +b111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#1998 +0$ +#1999 +b0 R +0% +0M +b1100100000 D +b1000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2000 +0$ +#2001 +b1000001 f +b1 R +b1100100100 E +b1100100000 - +b1100100000 5 +b1100100000 G +b1100100000 U +1$ +#2002 +0$ +#2003 +b10 R +b1000010 f +1$ +#2004 +0$ +#2005 +b1000011 f +b11 R +1$ +#2006 +0$ +#2007 +b100 R +b1000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2008 +0$ +#2009 +b1000101 f +b0 R +0% +0M +b1100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2010 +0$ +#2011 +b1 R +b1100101000 E +b1100100100 - +b1100100100 5 +b1100100100 G +b1100100100 U +b1000110 f +1$ +#2012 +0$ +#2013 +b1000111 f +b10 R +1$ +#2014 +0$ +#2015 +b11 R +b1001000 f +1$ +#2016 +0$ +#2017 +b1001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2018 +0$ +#2019 +b0 R +0% +0M +b1100101000 D +b1001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2020 +0$ +#2021 +b1001011 f +b1 R +b1100101100 E +b1100101000 - +b1100101000 5 +b1100101000 G +b1100101000 U +1$ +#2022 +0$ +#2023 +b10 R +b1001100 f +1$ +#2024 +0$ +#2025 +b1001101 f +b11 R +1$ +#2026 +0$ +#2027 +b100 R +b1001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2028 +0$ +#2029 +b1001111 f +b0 R +0% +0M +b1100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2030 +0$ +#2031 +b1 R +b1100110000 E +b1100101100 - +b1100101100 5 +b1100101100 G +b1100101100 U +b1010000 f +1$ +#2032 +0$ +#2033 +b1010001 f +b10 R +1$ +#2034 +0$ +#2035 +b11 R +b1010010 f +1$ +#2036 +0$ +#2037 +b1010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2038 +0$ +#2039 +b0 R +0% +0M +b1100110000 D +b1010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2040 +0$ +#2041 +b1010101 f +b1 R +b1100110100 E +b1100110000 - +b1100110000 5 +b1100110000 G +b1100110000 U +1$ +#2042 +0$ +#2043 +b10 R +b1010110 f +1$ +#2044 +0$ +#2045 +b1010111 f +b11 R +1$ +#2046 +0$ +#2047 +b100 R +b1011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2048 +0$ +#2049 +b1011001 f +b0 R +0% +0M +b1100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2050 +0$ +#2051 +b1 R +b1100111000 E +b1100110100 - +b1100110100 5 +b1100110100 G +b1100110100 U +b1011010 f +1$ +#2052 +0$ +#2053 +b1011011 f +b10 R +1$ +#2054 +0$ +#2055 +b11 R +b1011100 f +1$ +#2056 +0$ +#2057 +b1011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2058 +0$ +#2059 +b0 R +0% +0M +b1100111000 D +b1011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2060 +0$ +#2061 +b1011111 f +b1 R +b1100111100 E +b1100111000 - +b1100111000 5 +b1100111000 G +b1100111000 U +1$ +#2062 +0$ +#2063 +b10 R +b1100000 f +1$ +#2064 +0$ +#2065 +b1100001 f +b11 R +1$ +#2066 +0$ +#2067 +b100 R +b1100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2068 +0$ +#2069 +b1100011 f +b0 R +0% +0M +b1100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2070 +0$ +#2071 +b1 R +b1101000000 E +b1100111100 - +b1100111100 5 +b1100111100 G +b1100111100 U +b1100100 f +1$ +#2072 +0$ +#2073 +b1100101 f +b10 R +1$ +#2074 +0$ +#2075 +b11 R +b1100110 f +1$ +#2076 +0$ +#2077 +b1100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2078 +0$ +#2079 +b0 R +0% +0M +b1101000000 D +b1101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2080 +0$ +#2081 +b1101001 f +b1 R +b1101000100 E +b1101000000 - +b1101000000 5 +b1101000000 G +b1101000000 U +1$ +#2082 +0$ +#2083 +b10 R +b1101010 f +1$ +#2084 +0$ +#2085 +b1101011 f +b11 R +1$ +#2086 +0$ +#2087 +b100 R +b1101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2088 +0$ +#2089 +b1101101 f +b0 R +0% +0M +b1101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2090 +0$ +#2091 +b1 R +b1101001000 E +b1101000100 - +b1101000100 5 +b1101000100 G +b1101000100 U +b1101110 f +1$ +#2092 +0$ +#2093 +b1101111 f +b10 R +1$ +#2094 +0$ +#2095 +b11 R +b1110000 f +1$ +#2096 +0$ +#2097 +b1110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2098 +0$ +#2099 +b0 R +0% +0M +b1101001000 D +b1110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2100 +0$ +#2101 +b1110011 f +b1 R +b1101001100 E +b1101001000 - +b1101001000 5 +b1101001000 G +b1101001000 U +1$ +#2102 +0$ +#2103 +b10 R +b1110100 f +1$ +#2104 +0$ +#2105 +b1110101 f +b11 R +1$ +#2106 +0$ +#2107 +b100 R +b1110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2108 +0$ +#2109 +b1110111 f +b0 R +0% +0M +b1101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2110 +0$ +#2111 +b1 R +b1101010000 E +b1101001100 - +b1101001100 5 +b1101001100 G +b1101001100 U +b1111000 f +1$ +#2112 +0$ +#2113 +b1111001 f +b10 R +1$ +#2114 +0$ +#2115 +b11 R +b1111010 f +1$ +#2116 +0$ +#2117 +b1111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2118 +0$ +#2119 +b0 R +0% +0M +b1101010000 D +b1111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2120 +0$ +#2121 +b1111101 f +b1 R +b1101010100 E +b1101010000 - +b1101010000 5 +b1101010000 G +b1101010000 U +1$ +#2122 +0$ +#2123 +b10 R +b1111110 f +1$ +#2124 +0$ +#2125 +b1111111 f +b11 R +1$ +#2126 +0$ +#2127 +b100 R +b10000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2128 +0$ +#2129 +b10000001 f +b0 R +0% +0M +b1101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2130 +0$ +#2131 +b1 R +b1101011000 E +b1101010100 - +b1101010100 5 +b1101010100 G +b1101010100 U +b10000010 f +1$ +#2132 +0$ +#2133 +b10000011 f +b10 R +1$ +#2134 +0$ +#2135 +b11 R +b10000100 f +1$ +#2136 +0$ +#2137 +b10000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2138 +0$ +#2139 +b0 R +0% +0M +b1101011000 D +b10000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2140 +0$ +#2141 +b10000111 f +b1 R +b1101011100 E +b1101011000 - +b1101011000 5 +b1101011000 G +b1101011000 U +1$ +#2142 +0$ +#2143 +b10 R +b10001000 f +1$ +#2144 +0$ +#2145 +b10001001 f +b11 R +1$ +#2146 +0$ +#2147 +b100 R +b10001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2148 +0$ +#2149 +b10001011 f +b0 R +0% +0M +b1101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2150 +0$ +#2151 +b1 R +b1101100000 E +b1101011100 - +b1101011100 5 +b1101011100 G +b1101011100 U +b10001100 f +1$ +#2152 +0$ +#2153 +b10001101 f +b10 R +1$ +#2154 +0$ +#2155 +b11 R +b10001110 f +1$ +#2156 +0$ +#2157 +b10001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2158 +0$ +#2159 +b0 R +0% +0M +b1101100000 D +b10010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2160 +0$ +#2161 +b10010001 f +b1 R +b1101100100 E +b1101100000 - +b1101100000 5 +b1101100000 G +b1101100000 U +1$ +#2162 +0$ +#2163 +b10 R +b10010010 f +1$ +#2164 +0$ +#2165 +b10010011 f +b11 R +1$ +#2166 +0$ +#2167 +b100 R +b10010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2168 +0$ +#2169 +b10010101 f +b0 R +0% +0M +b1101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2170 +0$ +#2171 +b1 R +b1101101000 E +b1101100100 - +b1101100100 5 +b1101100100 G +b1101100100 U +b10010110 f +1$ +#2172 +0$ +#2173 +b10010111 f +b10 R +1$ +#2174 +0$ +#2175 +b11 R +b10011000 f +1$ +#2176 +0$ +#2177 +b10011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2178 +0$ +#2179 +b0 R +0% +0M +b1101101000 D +b10011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2180 +0$ +#2181 +b10011011 f +b1 R +b1101101100 E +b1101101000 - +b1101101000 5 +b1101101000 G +b1101101000 U +1$ +#2182 +0$ +#2183 +b10 R +b10011100 f +1$ +#2184 +0$ +#2185 +b10011101 f +b11 R +1$ +#2186 +0$ +#2187 +b100 R +b10011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2188 +0$ +#2189 +b10011111 f +b0 R +0% +0M +b1101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2190 +0$ +#2191 +b1 R +b1101110000 E +b1101101100 - +b1101101100 5 +b1101101100 G +b1101101100 U +b10100000 f +1$ +#2192 +0$ +#2193 +b10100001 f +b10 R +1$ +#2194 +0$ +#2195 +b11 R +b10100010 f +1$ +#2196 +0$ +#2197 +b10100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2198 +0$ +#2199 +b0 R +0% +0M +b1101110000 D +b10100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2200 +0$ +#2201 +b10100101 f +b1 R +b1101110100 E +b1101110000 - +b1101110000 5 +b1101110000 G +b1101110000 U +1$ +#2202 +0$ +#2203 +b10 R +b10100110 f +1$ +#2204 +0$ +#2205 +b10100111 f +b11 R +1$ +#2206 +0$ +#2207 +b100 R +b10101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2208 +0$ +#2209 +b10101001 f +b0 R +0% +0M +b1101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2210 +0$ +#2211 +b1 R +b1101111000 E +b1101110100 - +b1101110100 5 +b1101110100 G +b1101110100 U +b10101010 f +1$ +#2212 +0$ +#2213 +b10101011 f +b10 R +1$ +#2214 +0$ +#2215 +b11 R +b10101100 f +1$ +#2216 +0$ +#2217 +b10101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2218 +0$ +#2219 +b0 R +0% +0M +b1101111000 D +b10101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2220 +0$ +#2221 +b10101111 f +b1 R +b1101111100 E +b1101111000 - +b1101111000 5 +b1101111000 G +b1101111000 U +1$ +#2222 +0$ +#2223 +b10 R +b10110000 f +1$ +#2224 +0$ +#2225 +b10110001 f +b11 R +1$ +#2226 +0$ +#2227 +b100 R +b10110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2228 +0$ +#2229 +b10110011 f +b0 R +0% +0M +b1101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2230 +0$ +#2231 +b1 R +b1110000000 E +b1101111100 - +b1101111100 5 +b1101111100 G +b1101111100 U +b10110100 f +1$ +#2232 +0$ +#2233 +b10110101 f +b10 R +1$ +#2234 +0$ +#2235 +b11 R +b10110110 f +1$ +#2236 +0$ +#2237 +b10110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2238 +0$ +#2239 +b0 R +0% +0M +b1110000000 D +b10111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2240 +0$ +#2241 +b10111001 f +b1 R +b1110000100 E +b1110000000 - +b1110000000 5 +b1110000000 G +b1110000000 U +1$ +#2242 +0$ +#2243 +b10 R +b10111010 f +1$ +#2244 +0$ +#2245 +b10111011 f +b11 R +1$ +#2246 +0$ +#2247 +b100 R +b10111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2248 +0$ +#2249 +b10111101 f +b0 R +0% +0M +b1110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2250 +0$ +#2251 +b1 R +b1110001000 E +b1110000100 - +b1110000100 5 +b1110000100 G +b1110000100 U +b10111110 f +1$ +#2252 +0$ +#2253 +b10111111 f +b10 R +1$ +#2254 +0$ +#2255 +b11 R +b11000000 f +1$ +#2256 +0$ +#2257 +b11000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2258 +0$ +#2259 +b0 R +0% +0M +b1110001000 D +b11000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2260 +0$ +#2261 +b11000011 f +b1 R +b1110001100 E +b1110001000 - +b1110001000 5 +b1110001000 G +b1110001000 U +1$ +#2262 +0$ +#2263 +b10 R +b11000100 f +1$ +#2264 +0$ +#2265 +b11000101 f +b11 R +1$ +#2266 +0$ +#2267 +b100 R +b11000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2268 +0$ +#2269 +b11000111 f +b0 R +0% +0M +b1110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2270 +0$ +#2271 +b1 R +b1110010000 E +b1110001100 - +b1110001100 5 +b1110001100 G +b1110001100 U +b11001000 f +1$ +#2272 +0$ +#2273 +b11001001 f +b10 R +1$ +#2274 +0$ +#2275 +b11 R +b11001010 f +1$ +#2276 +0$ +#2277 +b11001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2278 +0$ +#2279 +b0 R +0% +0M +b1110010000 D +b11001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2280 +0$ +#2281 +b11001101 f +b1 R +b1110010100 E +b1110010000 - +b1110010000 5 +b1110010000 G +b1110010000 U +1$ +#2282 +0$ +#2283 +b10 R +b11001110 f +1$ +#2284 +0$ +#2285 +b11001111 f +b11 R +1$ +#2286 +0$ +#2287 +b100 R +b11010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2288 +0$ +#2289 +b11010001 f +b0 R +0% +0M +b1110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2290 +0$ +#2291 +b1 R +b1110011000 E +b1110010100 - +b1110010100 5 +b1110010100 G +b1110010100 U +b11010010 f +1$ +#2292 +0$ +#2293 +b11010011 f +b10 R +1$ +#2294 +0$ +#2295 +b11 R +b11010100 f +1$ +#2296 +0$ +#2297 +b11010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2298 +0$ +#2299 +b0 R +0% +0M +b1110011000 D +b11010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2300 +0$ +#2301 +b11010111 f +b1 R +b1110011100 E +b1110011000 - +b1110011000 5 +b1110011000 G +b1110011000 U +1$ +#2302 +0$ +#2303 +b10 R +b11011000 f +1$ +#2304 +0$ +#2305 +b11011001 f +b11 R +1$ +#2306 +0$ +#2307 +b100 R +b11011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2308 +0$ +#2309 +b11011011 f +b0 R +0% +0M +b1110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2310 +0$ +#2311 +b1 R +b1110100000 E +b1110011100 - +b1110011100 5 +b1110011100 G +b1110011100 U +b11011100 f +1$ +#2312 +0$ +#2313 +b11011101 f +b10 R +1$ +#2314 +0$ +#2315 +b11 R +b11011110 f +1$ +#2316 +0$ +#2317 +b11011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2318 +0$ +#2319 +b0 R +0% +0M +b1110100000 D +b11100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2320 +0$ +#2321 +b11100001 f +b1 R +b1110100100 E +b1110100000 - +b1110100000 5 +b1110100000 G +b1110100000 U +1$ +#2322 +0$ +#2323 +b10 R +b11100010 f +1$ +#2324 +0$ +#2325 +b11100011 f +b11 R +1$ +#2326 +0$ +#2327 +b100 R +b11100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2328 +0$ +#2329 +b11100101 f +b0 R +0% +0M +b1110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2330 +0$ +#2331 +b1 R +b1110101000 E +b1110100100 - +b1110100100 5 +b1110100100 G +b1110100100 U +b11100110 f +1$ +#2332 +0$ +#2333 +b11100111 f +b10 R +1$ +#2334 +0$ +#2335 +b11 R +b11101000 f +1$ +#2336 +0$ +#2337 +b11101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2338 +0$ +#2339 +b0 R +0% +0M +b1110101000 D +1] +b0 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2340 +0$ +#2341 +0] +b1 f +b11 k +b1 R +b1110101100 E +b1110101000 - +b1110101000 5 +b1110101000 G +b1110101000 U +1$ +#2342 +0$ +#2343 +b10 R +b10 f +1$ +#2344 +0$ +#2345 +b11 f +b11 R +1$ +#2346 +0$ +#2347 +b100 R +b100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2348 +0$ +#2349 +b101 f +b0 R +0% +0M +b1110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2350 +0$ +#2351 +b1 R +b1110110000 E +b1110101100 - +b1110101100 5 +b1110101100 G +b1110101100 U +b110 f +1$ +#2352 +0$ +#2353 +b111 f +b10 R +1$ +#2354 +0$ +#2355 +b11 R +b1000 f +1$ +#2356 +0$ +#2357 +b1001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2358 +0$ +#2359 +b0 R +0% +0M +b1110110000 D +b1010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2360 +0$ +#2361 +b1011 f +b1 R +b1110110100 E +b1110110000 - +b1110110000 5 +b1110110000 G +b1110110000 U +1$ +#2362 +0$ +#2363 +b10 R +b1100 f +1$ +#2364 +0$ +#2365 +b1101 f +b11 R +1$ +#2366 +0$ +#2367 +b100 R +b1110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2368 +0$ +#2369 +b1111 f +b0 R +0% +0M +b1110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2370 +0$ +#2371 +b1 R +b1110111000 E +b1110110100 - +b1110110100 5 +b1110110100 G +b1110110100 U +b10000 f +1$ +#2372 +0$ +#2373 +b10001 f +b10 R +1$ +#2374 +0$ +#2375 +b11 R +b10010 f +1$ +#2376 +0$ +#2377 +b10011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2378 +0$ +#2379 +b0 R +0% +0M +b1110111000 D +b10100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2380 +0$ +#2381 +b10101 f +b1 R +b1110111100 E +b1110111000 - +b1110111000 5 +b1110111000 G +b1110111000 U +1$ +#2382 +0$ +#2383 +b10 R +b10110 f +1$ +#2384 +0$ +#2385 +b10111 f +b11 R +1$ +#2386 +0$ +#2387 +b100 R +b11000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2388 +0$ +#2389 +b11001 f +b0 R +0% +0M +b1110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2390 +0$ +#2391 +b1 R +b1111000000 E +b1110111100 - +b1110111100 5 +b1110111100 G +b1110111100 U +b11010 f +1$ +#2392 +0$ +#2393 +b11011 f +b10 R +1$ +#2394 +0$ +#2395 +b11 R +b11100 f +1$ +#2396 +0$ +#2397 +b11101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2398 +0$ +#2399 +b0 R +0% +0M +b1111000000 D +b11110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2400 +0$ +#2401 +b11111 f +b1 R +b1111000100 E +b1111000000 - +b1111000000 5 +b1111000000 G +b1111000000 U +1$ +#2402 +0$ +#2403 +b10 R +b100000 f +1$ +#2404 +0$ +#2405 +b100001 f +b11 R +1$ +#2406 +0$ +#2407 +b100 R +b100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2408 +0$ +#2409 +b100011 f +b0 R +0% +0M +b1111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2410 +0$ +#2411 +b1 R +b1111001000 E +b1111000100 - +b1111000100 5 +b1111000100 G +b1111000100 U +b100100 f +1$ +#2412 +0$ +#2413 +b100101 f +b10 R +1$ +#2414 +0$ +#2415 +b11 R +b100110 f +1$ +#2416 +0$ +#2417 +b100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2418 +0$ +#2419 +b0 R +0% +0M +b1111001000 D +b101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2420 +0$ +#2421 +b101001 f +b1 R +b1111001100 E +b1111001000 - +b1111001000 5 +b1111001000 G +b1111001000 U +1$ +#2422 +0$ +#2423 +b10 R +b101010 f +1$ +#2424 +0$ +#2425 +b101011 f +b11 R +1$ +#2426 +0$ +#2427 +b100 R +b101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2428 +0$ +#2429 +b101101 f +b0 R +0% +0M +b1111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2430 +0$ +#2431 +b1 R +b1111010000 E +b1111001100 - +b1111001100 5 +b1111001100 G +b1111001100 U +b101110 f +1$ +#2432 +0$ +#2433 +b101111 f +b10 R +1$ +#2434 +0$ +#2435 +b11 R +b110000 f +1$ +#2436 +0$ +#2437 +b110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2438 +0$ +#2439 +b0 R +0% +0M +b1111010000 D +b110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2440 +0$ +#2441 +b110011 f +b1 R +b1111010100 E +b1111010000 - +b1111010000 5 +b1111010000 G +b1111010000 U +1$ +#2442 +0$ +#2443 +b10 R +b110100 f +1$ +#2444 +0$ +#2445 +b110101 f +b11 R +1$ +#2446 +0$ +#2447 +b100 R +b110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2448 +0$ +#2449 +b110111 f +b0 R +0% +0M +b1111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2450 +0$ +#2451 +b1 R +b1111011000 E +b1111010100 - +b1111010100 5 +b1111010100 G +b1111010100 U +b111000 f +1$ +#2452 +0$ +#2453 +b111001 f +b10 R +1$ +#2454 +0$ +#2455 +b11 R +b111010 f +1$ +#2456 +0$ +#2457 +b111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2458 +0$ +#2459 +b0 R +0% +0M +b1111011000 D +b111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2460 +0$ +#2461 +b111101 f +b1 R +b1111011100 E +b1111011000 - +b1111011000 5 +b1111011000 G +b1111011000 U +1$ +#2462 +0$ +#2463 +b10 R +b111110 f +1$ +#2464 +0$ +#2465 +b111111 f +b11 R +1$ +#2466 +0$ +#2467 +b100 R +b1000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2468 +0$ +#2469 +b1000001 f +b0 R +0% +0M +b1111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2470 +0$ +#2471 +b1 R +b1111100000 E +b1111011100 - +b1111011100 5 +b1111011100 G +b1111011100 U +b1000010 f +1$ +#2472 +0$ +#2473 +b1000011 f +b10 R +1$ +#2474 +0$ +#2475 +b11 R +b1000100 f +1$ +#2476 +0$ +#2477 +b1000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2478 +0$ +#2479 +b0 R +0% +0M +b1111100000 D +b1000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2480 +0$ +#2481 +b1000111 f +b1 R +b1111100100 E +b1111100000 - +b1111100000 5 +b1111100000 G +b1111100000 U +1$ +#2482 +0$ +#2483 +b10 R +b1001000 f +1$ +#2484 +0$ +#2485 +b1001001 f +b11 R +1$ +#2486 +0$ +#2487 +b100 R +b1001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2488 +0$ +#2489 +b1001011 f +b0 R +0% +0M +b1111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2490 +0$ +#2491 +b1 R +b1111101000 E +b1111100100 - +b1111100100 5 +b1111100100 G +b1111100100 U +b1001100 f +1$ +#2492 +0$ +#2493 +b1001101 f +b10 R +1$ +#2494 +0$ +#2495 +b11 R +b1001110 f +1$ +#2496 +0$ +#2497 +b1001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2498 +0$ +#2499 +b0 R +0% +0M +b1111101000 D +b1010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2500 +0$ +#2501 +b1010001 f +b1 R +b1111101100 E +b1111101000 - +b1111101000 5 +b1111101000 G +b1111101000 U +1$ +#2502 +0$ +#2503 +b10 R +b1010010 f +1$ +#2504 +0$ +#2505 +b1010011 f +b11 R +1$ +#2506 +0$ +#2507 +b100 R +b1010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2508 +0$ +#2509 +b1010101 f +b0 R +0% +0M +b1111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2510 +0$ +#2511 +b1 R +b1111110000 E +b1111101100 - +b1111101100 5 +b1111101100 G +b1111101100 U +b1010110 f +1$ +#2512 +0$ +#2513 +b1010111 f +b10 R +1$ +#2514 +0$ +#2515 +b11 R +b1011000 f +1$ +#2516 +0$ +#2517 +b1011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2518 +0$ +#2519 +b0 R +0% +0M +b1111110000 D +b1011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2520 +0$ +#2521 +b1011011 f +b1 R +b1111110100 E +b1111110000 - +b1111110000 5 +b1111110000 G +b1111110000 U +1$ +#2522 +0$ +#2523 +b10 R +b1011100 f +1$ +#2524 +0$ +#2525 +b1011101 f +b11 R +1$ +#2526 +0$ +#2527 +b100 R +b1011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2528 +0$ +#2529 +b1011111 f +b0 R +0% +0M +b1111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2530 +0$ +#2531 +b1 R +b1111111000 E +b1111110100 - +b1111110100 5 +b1111110100 G +b1111110100 U +b1100000 f +1$ +#2532 +0$ +#2533 +b1100001 f +b10 R +1$ +#2534 +0$ +#2535 +b11 R +b1100010 f +1$ +#2536 +0$ +#2537 +b1100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2538 +0$ +#2539 +b0 R +0% +0M +b1111111000 D +b1100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2540 +0$ +#2541 +b1100101 f +b1 R +b1111111100 E +b1111111000 - +b1111111000 5 +b1111111000 G +b1111111000 U +1$ +#2542 +0$ +#2543 +b10 R +b1100110 f +1$ +#2544 +0$ +#2545 +b1100111 f +b11 R +1$ +#2546 +0$ +#2547 +b100 R +b1101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2548 +0$ +#2549 +b1101001 f +b0 R +0% +0M +b1111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2550 +0$ +#2551 +b1 R +b10000000000 E +b1111111100 - +b1111111100 5 +b1111111100 G +b1111111100 U +b1101010 f +1$ +#2552 +0$ +#2553 +b1101011 f +b10 R +1$ +#2554 +0$ +#2555 +b11 R +b1101100 f +1$ +#2556 +0$ +#2557 +b1101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2558 +0$ +#2559 +b0 R +0% +0M +b10000000000 D +b1101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2560 +0$ +#2561 +b1101111 f +b1 R +b10000000100 E +b10000000000 - +b10000000000 5 +b10000000000 G +b10000000000 U +1$ +#2562 +0$ +#2563 +b10 R +b1110000 f +1$ +#2564 +0$ +#2565 +b1110001 f +b11 R +1$ +#2566 +0$ +#2567 +b100 R +b1110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2568 +0$ +#2569 +b1110011 f +b0 R +0% +0M +b10000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2570 +0$ +#2571 +b1 R +b10000001000 E +b10000000100 - +b10000000100 5 +b10000000100 G +b10000000100 U +b1110100 f +1$ +#2572 +0$ +#2573 +b1110101 f +b10 R +1$ +#2574 +0$ +#2575 +b11 R +b1110110 f +1$ +#2576 +0$ +#2577 +b1110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2578 +0$ +#2579 +b0 R +0% +0M +b10000001000 D +b1111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2580 +0$ +#2581 +b1111001 f +b1 R +b10000001100 E +b10000001000 - +b10000001000 5 +b10000001000 G +b10000001000 U +1$ +#2582 +0$ +#2583 +b10 R +b1111010 f +1$ +#2584 +0$ +#2585 +b1111011 f +b11 R +1$ +#2586 +0$ +#2587 +b100 R +b1111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2588 +0$ +#2589 +b1111101 f +b0 R +0% +0M +b10000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2590 +0$ +#2591 +b1 R +b10000010000 E +b10000001100 - +b10000001100 5 +b10000001100 G +b10000001100 U +b1111110 f +1$ +#2592 +0$ +#2593 +b1111111 f +b10 R +1$ +#2594 +0$ +#2595 +b11 R +b10000000 f +1$ +#2596 +0$ +#2597 +b10000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2598 +0$ +#2599 +b0 R +0% +0M +b10000010000 D +b10000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2600 +0$ +#2601 +b10000011 f +b1 R +b10000010100 E +b10000010000 - +b10000010000 5 +b10000010000 G +b10000010000 U +1$ +#2602 +0$ +#2603 +b10 R +b10000100 f +1$ +#2604 +0$ +#2605 +b10000101 f +b11 R +1$ +#2606 +0$ +#2607 +b100 R +b10000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2608 +0$ +#2609 +b10000111 f +b0 R +0% +0M +b10000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2610 +0$ +#2611 +b1 R +b10000011000 E +b10000010100 - +b10000010100 5 +b10000010100 G +b10000010100 U +b10001000 f +1$ +#2612 +0$ +#2613 +b10001001 f +b10 R +1$ +#2614 +0$ +#2615 +b11 R +b10001010 f +1$ +#2616 +0$ +#2617 +b10001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2618 +0$ +#2619 +b0 R +0% +0M +b10000011000 D +b10001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2620 +0$ +#2621 +b10001101 f +b1 R +b10000011100 E +b10000011000 - +b10000011000 5 +b10000011000 G +b10000011000 U +1$ +#2622 +0$ +#2623 +b10 R +b10001110 f +1$ +#2624 +0$ +#2625 +b10001111 f +b11 R +1$ +#2626 +0$ +#2627 +b100 R +b10010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2628 +0$ +#2629 +b10010001 f +b0 R +0% +0M +b10000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2630 +0$ +#2631 +b1 R +b10000100000 E +b10000011100 - +b10000011100 5 +b10000011100 G +b10000011100 U +b10010010 f +1$ +#2632 +0$ +#2633 +b10010011 f +b10 R +1$ +#2634 +0$ +#2635 +b11 R +b10010100 f +1$ +#2636 +0$ +#2637 +b10010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2638 +0$ +#2639 +b0 R +0% +0M +b10000100000 D +b10010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2640 +0$ +#2641 +b10010111 f +b1 R +b10000100100 E +b10000100000 - +b10000100000 5 +b10000100000 G +b10000100000 U +1$ +#2642 +0$ +#2643 +b10 R +b10011000 f +1$ +#2644 +0$ +#2645 +b10011001 f +b11 R +1$ +#2646 +0$ +#2647 +b100 R +b10011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2648 +0$ +#2649 +b10011011 f +b0 R +0% +0M +b10000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2650 +0$ +#2651 +b1 R +b10000101000 E +b10000100100 - +b10000100100 5 +b10000100100 G +b10000100100 U +b10011100 f +1$ +#2652 +0$ +#2653 +b10011101 f +b10 R +1$ +#2654 +0$ +#2655 +b11 R +b10011110 f +1$ +#2656 +0$ +#2657 +b10011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2658 +0$ +#2659 +b0 R +0% +0M +b10000101000 D +b10100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2660 +0$ +#2661 +b10100001 f +b1 R +b10000101100 E +b10000101000 - +b10000101000 5 +b10000101000 G +b10000101000 U +1$ +#2662 +0$ +#2663 +b10 R +b10100010 f +1$ +#2664 +0$ +#2665 +b10100011 f +b11 R +1$ +#2666 +0$ +#2667 +b100 R +b10100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2668 +0$ +#2669 +b10100101 f +b0 R +0% +0M +b10000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2670 +0$ +#2671 +b1 R +b10000110000 E +b10000101100 - +b10000101100 5 +b10000101100 G +b10000101100 U +b10100110 f +1$ +#2672 +0$ +#2673 +b10100111 f +b10 R +1$ +#2674 +0$ +#2675 +b11 R +b10101000 f +1$ +#2676 +0$ +#2677 +b10101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2678 +0$ +#2679 +b0 R +0% +0M +b10000110000 D +b10101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2680 +0$ +#2681 +b10101011 f +b1 R +b10000110100 E +b10000110000 - +b10000110000 5 +b10000110000 G +b10000110000 U +1$ +#2682 +0$ +#2683 +b10 R +b10101100 f +1$ +#2684 +0$ +#2685 +b10101101 f +b11 R +1$ +#2686 +0$ +#2687 +b100 R +b10101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2688 +0$ +#2689 +b10101111 f +b0 R +0% +0M +b10000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2690 +0$ +#2691 +b1 R +b10000111000 E +b10000110100 - +b10000110100 5 +b10000110100 G +b10000110100 U +b10110000 f +1$ +#2692 +0$ +#2693 +b10110001 f +b10 R +1$ +#2694 +0$ +#2695 +b11 R +b10110010 f +1$ +#2696 +0$ +#2697 +b10110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2698 +0$ +#2699 +b0 R +0% +0M +b10000111000 D +b10110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2700 +0$ +#2701 +b10110101 f +b1 R +b10000111100 E +b10000111000 - +b10000111000 5 +b10000111000 G +b10000111000 U +1$ +#2702 +0$ +#2703 +b10 R +b10110110 f +1$ +#2704 +0$ +#2705 +b10110111 f +b11 R +1$ +#2706 +0$ +#2707 +b100 R +b10111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2708 +0$ +#2709 +b10111001 f +b0 R +0% +0M +b10000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2710 +0$ +#2711 +b1 R +b10001000000 E +b10000111100 - +b10000111100 5 +b10000111100 G +b10000111100 U +b10111010 f +1$ +#2712 +0$ +#2713 +b10111011 f +b10 R +1$ +#2714 +0$ +#2715 +b11 R +b10111100 f +1$ +#2716 +0$ +#2717 +b10111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2718 +0$ +#2719 +b0 R +0% +0M +b10001000000 D +b10111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2720 +0$ +#2721 +b10111111 f +b1 R +b10001000100 E +b10001000000 - +b10001000000 5 +b10001000000 G +b10001000000 U +1$ +#2722 +0$ +#2723 +b10 R +b11000000 f +1$ +#2724 +0$ +#2725 +b11000001 f +b11 R +1$ +#2726 +0$ +#2727 +b100 R +b11000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2728 +0$ +#2729 +b11000011 f +b0 R +0% +0M +b10001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2730 +0$ +#2731 +b1 R +b10001001000 E +b10001000100 - +b10001000100 5 +b10001000100 G +b10001000100 U +b11000100 f +1$ +#2732 +0$ +#2733 +b11000101 f +b10 R +1$ +#2734 +0$ +#2735 +b11 R +b11000110 f +1$ +#2736 +0$ +#2737 +b11000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2738 +0$ +#2739 +b0 R +0% +0M +b10001001000 D +b11001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2740 +0$ +#2741 +b11001001 f +b1 R +b10001001100 E +b10001001000 - +b10001001000 5 +b10001001000 G +b10001001000 U +1$ +#2742 +0$ +#2743 +b10 R +b11001010 f +1$ +#2744 +0$ +#2745 +b11001011 f +b11 R +1$ +#2746 +0$ +#2747 +b100 R +b11001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2748 +0$ +#2749 +b11001101 f +b0 R +0% +0M +b10001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2750 +0$ +#2751 +b1 R +b10001010000 E +b10001001100 - +b10001001100 5 +b10001001100 G +b10001001100 U +b11001110 f +1$ +#2752 +0$ +#2753 +b11001111 f +b10 R +1$ +#2754 +0$ +#2755 +b11 R +b11010000 f +1$ +#2756 +0$ +#2757 +b11010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2758 +0$ +#2759 +b0 R +0% +0M +b10001010000 D +b11010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2760 +0$ +#2761 +b11010011 f +b1 R +b10001010100 E +b10001010000 - +b10001010000 5 +b10001010000 G +b10001010000 U +1$ +#2762 +0$ +#2763 +b10 R +b11010100 f +1$ +#2764 +0$ +#2765 +b11010101 f +b11 R +1$ +#2766 +0$ +#2767 +b100 R +b11010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2768 +0$ +#2769 +b11010111 f +b0 R +0% +0M +b10001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2770 +0$ +#2771 +b1 R +b10001011000 E +b10001010100 - +b10001010100 5 +b10001010100 G +b10001010100 U +b11011000 f +1$ +#2772 +0$ +#2773 +b11011001 f +b10 R +1$ +#2774 +0$ +#2775 +b11 R +b11011010 f +1$ +#2776 +0$ +#2777 +b11011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2778 +0$ +#2779 +b0 R +0% +0M +b10001011000 D +b11011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2780 +0$ +#2781 +b11011101 f +b1 R +b10001011100 E +b10001011000 - +b10001011000 5 +b10001011000 G +b10001011000 U +1$ +#2782 +0$ +#2783 +b10 R +b11011110 f +1$ +#2784 +0$ +#2785 +b11011111 f +b11 R +1$ +#2786 +0$ +#2787 +b100 R +b11100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2788 +0$ +#2789 +b11100001 f +b0 R +0% +0M +b10001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2790 +0$ +#2791 +b1 R +b10001100000 E +b10001011100 - +b10001011100 5 +b10001011100 G +b10001011100 U +b11100010 f +1$ +#2792 +0$ +#2793 +b11100011 f +b10 R +1$ +#2794 +0$ +#2795 +b11 R +b11100100 f +1$ +#2796 +0$ +#2797 +b11100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2798 +0$ +#2799 +b0 R +0% +0M +b10001100000 D +b11100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2800 +0$ +#2801 +b11100111 f +b1 R +b10001100100 E +b10001100000 - +b10001100000 5 +b10001100000 G +b10001100000 U +1$ +#2802 +0$ +#2803 +b10 R +b11101000 f +1$ +#2804 +0$ +#2805 +b11101001 f +b11 R +1$ +#2806 +0$ +#2807 +b100 R +1] +b0 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2808 +0$ +#2809 +0] +b1 f +b100 k +b0 R +0% +0M +b10001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2810 +0$ +#2811 +b1 R +b10001101000 E +b10001100100 - +b10001100100 5 +b10001100100 G +b10001100100 U +b10 f +1$ +#2812 +0$ +#2813 +b11 f +b10 R +1$ +#2814 +0$ +#2815 +b11 R +b100 f +1$ +#2816 +0$ +#2817 +b101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2818 +0$ +#2819 +b0 R +0% +0M +b10001101000 D +b110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2820 +0$ +#2821 +b111 f +b1 R +b10001101100 E +b10001101000 - +b10001101000 5 +b10001101000 G +b10001101000 U +1$ +#2822 +0$ +#2823 +b10 R +b1000 f +1$ +#2824 +0$ +#2825 +b1001 f +b11 R +1$ +#2826 +0$ +#2827 +b100 R +b1010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2828 +0$ +#2829 +b1011 f +b0 R +0% +0M +b10001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2830 +0$ +#2831 +b1 R +b10001110000 E +b10001101100 - +b10001101100 5 +b10001101100 G +b10001101100 U +b1100 f +1$ +#2832 +0$ +#2833 +b1101 f +b10 R +1$ +#2834 +0$ +#2835 +b11 R +b1110 f +1$ +#2836 +0$ +#2837 +b1111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2838 +0$ +#2839 +b0 R +0% +0M +b10001110000 D +b10000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2840 +0$ +#2841 +b10001 f +b1 R +b10001110100 E +b10001110000 - +b10001110000 5 +b10001110000 G +b10001110000 U +1$ +#2842 +0$ +#2843 +b10 R +b10010 f +1$ +#2844 +0$ +#2845 +b10011 f +b11 R +1$ +#2846 +0$ +#2847 +b100 R +b10100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2848 +0$ +#2849 +b10101 f +b0 R +0% +0M +b10001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2850 +0$ +#2851 +b1 R +b10001111000 E +b10001110100 - +b10001110100 5 +b10001110100 G +b10001110100 U +b10110 f +1$ +#2852 +0$ +#2853 +b10111 f +b10 R +1$ +#2854 +0$ +#2855 +b11 R +b11000 f +1$ +#2856 +0$ +#2857 +b11001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2858 +0$ +#2859 +b0 R +0% +0M +b10001111000 D +b11010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2860 +0$ +#2861 +b11011 f +b1 R +b10001111100 E +b10001111000 - +b10001111000 5 +b10001111000 G +b10001111000 U +1$ +#2862 +0$ +#2863 +b10 R +b11100 f +1$ +#2864 +0$ +#2865 +b11101 f +b11 R +1$ +#2866 +0$ +#2867 +b100 R +b11110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2868 +0$ +#2869 +b11111 f +b0 R +0% +0M +b10001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2870 +0$ +#2871 +b1 R +b10010000000 E +b10001111100 - +b10001111100 5 +b10001111100 G +b10001111100 U +b100000 f +1$ +#2872 +0$ +#2873 +b100001 f +b10 R +1$ +#2874 +0$ +#2875 +b11 R +b100010 f +1$ +#2876 +0$ +#2877 +b100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2878 +0$ +#2879 +b0 R +0% +0M +b10010000000 D +b100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2880 +0$ +#2881 +b100101 f +b1 R +b10010000100 E +b10010000000 - +b10010000000 5 +b10010000000 G +b10010000000 U +1$ +#2882 +0$ +#2883 +b10 R +b100110 f +1$ +#2884 +0$ +#2885 +b100111 f +b11 R +1$ +#2886 +0$ +#2887 +b100 R +b101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2888 +0$ +#2889 +b101001 f +b0 R +0% +0M +b10010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2890 +0$ +#2891 +b1 R +b10010001000 E +b10010000100 - +b10010000100 5 +b10010000100 G +b10010000100 U +b101010 f +1$ +#2892 +0$ +#2893 +b101011 f +b10 R +1$ +#2894 +0$ +#2895 +b11 R +b101100 f +1$ +#2896 +0$ +#2897 +b101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2898 +0$ +#2899 +b0 R +0% +0M +b10010001000 D +b101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2900 +0$ +#2901 +b101111 f +b1 R +b10010001100 E +b10010001000 - +b10010001000 5 +b10010001000 G +b10010001000 U +1$ +#2902 +0$ +#2903 +b10 R +b110000 f +1$ +#2904 +0$ +#2905 +b110001 f +b11 R +1$ +#2906 +0$ +#2907 +b100 R +b110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2908 +0$ +#2909 +b110011 f +b0 R +0% +0M +b10010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2910 +0$ +#2911 +b1 R +b10010010000 E +b10010001100 - +b10010001100 5 +b10010001100 G +b10010001100 U +b110100 f +1$ +#2912 +0$ +#2913 +b110101 f +b10 R +1$ +#2914 +0$ +#2915 +b11 R +b110110 f +1$ +#2916 +0$ +#2917 +b110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2918 +0$ +#2919 +b0 R +0% +0M +b10010010000 D +b111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2920 +0$ +#2921 +b111001 f +b1 R +b10010010100 E +b10010010000 - +b10010010000 5 +b10010010000 G +b10010010000 U +1$ +#2922 +0$ +#2923 +b10 R +b111010 f +1$ +#2924 +0$ +#2925 +b111011 f +b11 R +1$ +#2926 +0$ +#2927 +b100 R +b111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2928 +0$ +#2929 +b111101 f +b0 R +0% +0M +b10010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2930 +0$ +#2931 +b1 R +b10010011000 E +b10010010100 - +b10010010100 5 +b10010010100 G +b10010010100 U +b111110 f +1$ +#2932 +0$ +#2933 +b111111 f +b10 R +1$ +#2934 +0$ +#2935 +b11 R +b1000000 f +1$ +#2936 +0$ +#2937 +b1000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2938 +0$ +#2939 +b0 R +0% +0M +b10010011000 D +b1000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2940 +0$ +#2941 +b1000011 f +b1 R +b10010011100 E +b10010011000 - +b10010011000 5 +b10010011000 G +b10010011000 U +1$ +#2942 +0$ +#2943 +b10 R +b1000100 f +1$ +#2944 +0$ +#2945 +b1000101 f +b11 R +1$ +#2946 +0$ +#2947 +b100 R +b1000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2948 +0$ +#2949 +b1000111 f +b0 R +0% +0M +b10010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2950 +0$ +#2951 +b1 R +b10010100000 E +b10010011100 - +b10010011100 5 +b10010011100 G +b10010011100 U +b1001000 f +1$ +#2952 +0$ +#2953 +b1001001 f +b10 R +1$ +#2954 +0$ +#2955 +b11 R +b1001010 f +1$ +#2956 +0$ +#2957 +b1001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2958 +0$ +#2959 +b0 R +0% +0M +b10010100000 D +b1001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2960 +0$ +#2961 +b1001101 f +b1 R +b10010100100 E +b10010100000 - +b10010100000 5 +b10010100000 G +b10010100000 U +1$ +#2962 +0$ +#2963 +b10 R +b1001110 f +1$ +#2964 +0$ +#2965 +b1001111 f +b11 R +1$ +#2966 +0$ +#2967 +b100 R +b1010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2968 +0$ +#2969 +b1010001 f +b0 R +0% +0M +b10010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2970 +0$ +#2971 +b1 R +b10010101000 E +b10010100100 - +b10010100100 5 +b10010100100 G +b10010100100 U +b1010010 f +1$ +#2972 +0$ +#2973 +b1010011 f +b10 R +1$ +#2974 +0$ +#2975 +b11 R +b1010100 f +1$ +#2976 +0$ +#2977 +b1010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2978 +0$ +#2979 +b0 R +0% +0M +b10010101000 D +b1010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2980 +0$ +#2981 +b1010111 f +b1 R +b10010101100 E +b10010101000 - +b10010101000 5 +b10010101000 G +b10010101000 U +1$ +#2982 +0$ +#2983 +b10 R +b1011000 f +1$ +#2984 +0$ +#2985 +b1011001 f +b11 R +1$ +#2986 +0$ +#2987 +b100 R +b1011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2988 +0$ +#2989 +b1011011 f +b0 R +0% +0M +b10010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#2990 +0$ +#2991 +b1 R +b10010110000 E +b10010101100 - +b10010101100 5 +b10010101100 G +b10010101100 U +b1011100 f +1$ +#2992 +0$ +#2993 +b1011101 f +b10 R +1$ +#2994 +0$ +#2995 +b11 R +b1011110 f +1$ +#2996 +0$ +#2997 +b1011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#2998 +0$ +#2999 +b0 R +0% +0M +b10010110000 D +b1100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3000 +0$ +#3001 +b1100001 f +b1 R +b10010110100 E +b10010110000 - +b10010110000 5 +b10010110000 G +b10010110000 U +1$ +#3002 +0$ +#3003 +b10 R +b1100010 f +1$ +#3004 +0$ +#3005 +b1100011 f +b11 R +1$ +#3006 +0$ +#3007 +b100 R +b1100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3008 +0$ +#3009 +b1100101 f +b0 R +0% +0M +b10010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3010 +0$ +#3011 +b1 R +b10010111000 E +b10010110100 - +b10010110100 5 +b10010110100 G +b10010110100 U +b1100110 f +1$ +#3012 +0$ +#3013 +b1100111 f +b10 R +1$ +#3014 +0$ +#3015 +b11 R +b1101000 f +1$ +#3016 +0$ +#3017 +b1101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3018 +0$ +#3019 +b0 R +0% +0M +b10010111000 D +b1101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3020 +0$ +#3021 +b1101011 f +b1 R +b10010111100 E +b10010111000 - +b10010111000 5 +b10010111000 G +b10010111000 U +1$ +#3022 +0$ +#3023 +b10 R +b1101100 f +1$ +#3024 +0$ +#3025 +b1101101 f +b11 R +1$ +#3026 +0$ +#3027 +b100 R +b1101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3028 +0$ +#3029 +b1101111 f +b0 R +0% +0M +b10010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3030 +0$ +#3031 +b1 R +b10011000000 E +b10010111100 - +b10010111100 5 +b10010111100 G +b10010111100 U +b1110000 f +1$ +#3032 +0$ +#3033 +b1110001 f +b10 R +1$ +#3034 +0$ +#3035 +b11 R +b1110010 f +1$ +#3036 +0$ +#3037 +b1110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3038 +0$ +#3039 +b0 R +0% +0M +b10011000000 D +b1110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3040 +0$ +#3041 +b1110101 f +b1 R +b10011000100 E +b10011000000 - +b10011000000 5 +b10011000000 G +b10011000000 U +1$ +#3042 +0$ +#3043 +b10 R +b1110110 f +1$ +#3044 +0$ +#3045 +b1110111 f +b11 R +1$ +#3046 +0$ +#3047 +b100 R +b1111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3048 +0$ +#3049 +b1111001 f +b0 R +0% +0M +b10011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3050 +0$ +#3051 +b1 R +b10011001000 E +b10011000100 - +b10011000100 5 +b10011000100 G +b10011000100 U +b1111010 f +1$ +#3052 +0$ +#3053 +b1111011 f +b10 R +1$ +#3054 +0$ +#3055 +b11 R +b1111100 f +1$ +#3056 +0$ +#3057 +b1111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3058 +0$ +#3059 +b0 R +0% +0M +b10011001000 D +b1111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3060 +0$ +#3061 +b1111111 f +b1 R +b10011001100 E +b10011001000 - +b10011001000 5 +b10011001000 G +b10011001000 U +1$ +#3062 +0$ +#3063 +b10 R +b10000000 f +1$ +#3064 +0$ +#3065 +b10000001 f +b11 R +1$ +#3066 +0$ +#3067 +b100 R +b10000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3068 +0$ +#3069 +b10000011 f +b0 R +0% +0M +b10011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3070 +0$ +#3071 +b1 R +b10011010000 E +b10011001100 - +b10011001100 5 +b10011001100 G +b10011001100 U +b10000100 f +1$ +#3072 +0$ +#3073 +b10000101 f +b10 R +1$ +#3074 +0$ +#3075 +b11 R +b10000110 f +1$ +#3076 +0$ +#3077 +b10000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3078 +0$ +#3079 +b0 R +0% +0M +b10011010000 D +b10001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3080 +0$ +#3081 +b10001001 f +b1 R +b10011010100 E +b10011010000 - +b10011010000 5 +b10011010000 G +b10011010000 U +1$ +#3082 +0$ +#3083 +b10 R +b10001010 f +1$ +#3084 +0$ +#3085 +b10001011 f +b11 R +1$ +#3086 +0$ +#3087 +b100 R +b10001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3088 +0$ +#3089 +b10001101 f +b0 R +0% +0M +b10011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3090 +0$ +#3091 +b1 R +b10011011000 E +b10011010100 - +b10011010100 5 +b10011010100 G +b10011010100 U +b10001110 f +1$ +#3092 +0$ +#3093 +b10001111 f +b10 R +1$ +#3094 +0$ +#3095 +b11 R +b10010000 f +1$ +#3096 +0$ +#3097 +b10010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3098 +0$ +#3099 +b0 R +0% +0M +b10011011000 D +b10010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3100 +0$ +#3101 +b10010011 f +b1 R +b10011011100 E +b10011011000 - +b10011011000 5 +b10011011000 G +b10011011000 U +1$ +#3102 +0$ +#3103 +b10 R +b10010100 f +1$ +#3104 +0$ +#3105 +b10010101 f +b11 R +1$ +#3106 +0$ +#3107 +b100 R +b10010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3108 +0$ +#3109 +b10010111 f +b0 R +0% +0M +b10011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3110 +0$ +#3111 +b1 R +b10011100000 E +b10011011100 - +b10011011100 5 +b10011011100 G +b10011011100 U +b10011000 f +1$ +#3112 +0$ +#3113 +b10011001 f +b10 R +1$ +#3114 +0$ +#3115 +b11 R +b10011010 f +1$ +#3116 +0$ +#3117 +b10011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3118 +0$ +#3119 +b0 R +0% +0M +b10011100000 D +b10011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3120 +0$ +#3121 +b10011101 f +b1 R +b10011100100 E +b10011100000 - +b10011100000 5 +b10011100000 G +b10011100000 U +1$ +#3122 +0$ +#3123 +b10 R +b10011110 f +1$ +#3124 +0$ +#3125 +b10011111 f +b11 R +1$ +#3126 +0$ +#3127 +b100 R +b10100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3128 +0$ +#3129 +b10100001 f +b0 R +0% +0M +b10011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3130 +0$ +#3131 +b1 R +b10011101000 E +b10011100100 - +b10011100100 5 +b10011100100 G +b10011100100 U +b10100010 f +1$ +#3132 +0$ +#3133 +b10100011 f +b10 R +1$ +#3134 +0$ +#3135 +b11 R +b10100100 f +1$ +#3136 +0$ +#3137 +b10100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3138 +0$ +#3139 +b0 R +0% +0M +b10011101000 D +b10100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3140 +0$ +#3141 +b10100111 f +b1 R +b10011101100 E +b10011101000 - +b10011101000 5 +b10011101000 G +b10011101000 U +1$ +#3142 +0$ +#3143 +b10 R +b10101000 f +1$ +#3144 +0$ +#3145 +b10101001 f +b11 R +1$ +#3146 +0$ +#3147 +b100 R +b10101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3148 +0$ +#3149 +b10101011 f +b0 R +0% +0M +b10011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3150 +0$ +#3151 +b1 R +b10011110000 E +b10011101100 - +b10011101100 5 +b10011101100 G +b10011101100 U +b10101100 f +1$ +#3152 +0$ +#3153 +b10101101 f +b10 R +1$ +#3154 +0$ +#3155 +b11 R +b10101110 f +1$ +#3156 +0$ +#3157 +b10101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3158 +0$ +#3159 +b0 R +0% +0M +b10011110000 D +b10110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3160 +0$ +#3161 +b10110001 f +b1 R +b10011110100 E +b10011110000 - +b10011110000 5 +b10011110000 G +b10011110000 U +1$ +#3162 +0$ +#3163 +b10 R +b10110010 f +1$ +#3164 +0$ +#3165 +b10110011 f +b11 R +1$ +#3166 +0$ +#3167 +b100 R +b10110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3168 +0$ +#3169 +b10110101 f +b0 R +0% +0M +b10011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3170 +0$ +#3171 +b1 R +b10011111000 E +b10011110100 - +b10011110100 5 +b10011110100 G +b10011110100 U +b10110110 f +1$ +#3172 +0$ +#3173 +b10110111 f +b10 R +1$ +#3174 +0$ +#3175 +b11 R +b10111000 f +1$ +#3176 +0$ +#3177 +b10111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3178 +0$ +#3179 +b0 R +0% +0M +b10011111000 D +b10111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3180 +0$ +#3181 +b10111011 f +b1 R +b10011111100 E +b10011111000 - +b10011111000 5 +b10011111000 G +b10011111000 U +1$ +#3182 +0$ +#3183 +b10 R +b10111100 f +1$ +#3184 +0$ +#3185 +b10111101 f +b11 R +1$ +#3186 +0$ +#3187 +b100 R +b10111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3188 +0$ +#3189 +b10111111 f +b0 R +0% +0M +b10011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3190 +0$ +#3191 +b1 R +b10100000000 E +b10011111100 - +b10011111100 5 +b10011111100 G +b10011111100 U +b11000000 f +1$ +#3192 +0$ +#3193 +b11000001 f +b10 R +1$ +#3194 +0$ +#3195 +b11 R +b11000010 f +1$ +#3196 +0$ +#3197 +b11000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3198 +0$ +#3199 +b0 R +0% +0M +b10100000000 D +b11000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3200 +0$ +#3201 +b11000101 f +b1 R +b10100000100 E +b10100000000 - +b10100000000 5 +b10100000000 G +b10100000000 U +1$ +#3202 +0$ +#3203 +b10 R +b11000110 f +1$ +#3204 +0$ +#3205 +b11000111 f +b11 R +1$ +#3206 +0$ +#3207 +b100 R +b11001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3208 +0$ +#3209 +b11001001 f +b0 R +0% +0M +b10100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3210 +0$ +#3211 +b1 R +b10100001000 E +b10100000100 - +b10100000100 5 +b10100000100 G +b10100000100 U +b11001010 f +1$ +#3212 +0$ +#3213 +b11001011 f +b10 R +1$ +#3214 +0$ +#3215 +b11 R +b11001100 f +1$ +#3216 +0$ +#3217 +b11001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3218 +0$ +#3219 +b0 R +0% +0M +b10100001000 D +b11001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3220 +0$ +#3221 +b11001111 f +b1 R +b10100001100 E +b10100001000 - +b10100001000 5 +b10100001000 G +b10100001000 U +1$ +#3222 +0$ +#3223 +b10 R +b11010000 f +1$ +#3224 +0$ +#3225 +b11010001 f +b11 R +1$ +#3226 +0$ +#3227 +b100 R +b11010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3228 +0$ +#3229 +b11010011 f +b0 R +0% +0M +b10100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3230 +0$ +#3231 +b1 R +b10100010000 E +b10100001100 - +b10100001100 5 +b10100001100 G +b10100001100 U +b11010100 f +1$ +#3232 +0$ +#3233 +b11010101 f +b10 R +1$ +#3234 +0$ +#3235 +b11 R +b11010110 f +1$ +#3236 +0$ +#3237 +b11010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3238 +0$ +#3239 +b0 R +0% +0M +b10100010000 D +b11011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3240 +0$ +#3241 +b11011001 f +b1 R +b10100010100 E +b10100010000 - +b10100010000 5 +b10100010000 G +b10100010000 U +1$ +#3242 +0$ +#3243 +b10 R +b11011010 f +1$ +#3244 +0$ +#3245 +b11011011 f +b11 R +1$ +#3246 +0$ +#3247 +b100 R +b11011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3248 +0$ +#3249 +b11011101 f +b0 R +0% +0M +b10100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3250 +0$ +#3251 +b1 R +b10100011000 E +b10100010100 - +b10100010100 5 +b10100010100 G +b10100010100 U +b11011110 f +1$ +#3252 +0$ +#3253 +b11011111 f +b10 R +1$ +#3254 +0$ +#3255 +b11 R +b11100000 f +1$ +#3256 +0$ +#3257 +b11100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3258 +0$ +#3259 +b0 R +0% +0M +b10100011000 D +b11100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3260 +0$ +#3261 +b11100011 f +b1 R +b10100011100 E +b10100011000 - +b10100011000 5 +b10100011000 G +b10100011000 U +1$ +#3262 +0$ +#3263 +b10 R +b11100100 f +1$ +#3264 +0$ +#3265 +b11100101 f +b11 R +1$ +#3266 +0$ +#3267 +b100 R +b11100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3268 +0$ +#3269 +b11100111 f +b0 R +0% +0M +b10100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3270 +0$ +#3271 +b1 R +b10100100000 E +b10100011100 - +b10100011100 5 +b10100011100 G +b10100011100 U +b11101000 f +1$ +#3272 +0$ +#3273 +b11101001 f +b10 R +1$ +#3274 +0$ +#3275 +b11 R +1] +b0 f +1$ +#3276 +0$ +#3277 +0] +b1 f +b101 k +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3278 +0$ +#3279 +b0 R +0% +0M +b10100100000 D +b10 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3280 +0$ +#3281 +b11 f +b1 R +b10100100100 E +b10100100000 - +b10100100000 5 +b10100100000 G +b10100100000 U +1$ +#3282 +0$ +#3283 +b10 R +b100 f +1$ +#3284 +0$ +#3285 +b101 f +b11 R +1$ +#3286 +0$ +#3287 +b100 R +b110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3288 +0$ +#3289 +b111 f +b0 R +0% +0M +b10100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3290 +0$ +#3291 +b1 R +b10100101000 E +b10100100100 - +b10100100100 5 +b10100100100 G +b10100100100 U +b1000 f +1$ +#3292 +0$ +#3293 +b1001 f +b10 R +1$ +#3294 +0$ +#3295 +b11 R +b1010 f +1$ +#3296 +0$ +#3297 +b1011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3298 +0$ +#3299 +b0 R +0% +0M +b10100101000 D +b1100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3300 +0$ +#3301 +b1101 f +b1 R +b10100101100 E +b10100101000 - +b10100101000 5 +b10100101000 G +b10100101000 U +1$ +#3302 +0$ +#3303 +b10 R +b1110 f +1$ +#3304 +0$ +#3305 +b1111 f +b11 R +1$ +#3306 +0$ +#3307 +b100 R +b10000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3308 +0$ +#3309 +b10001 f +b0 R +0% +0M +b10100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3310 +0$ +#3311 +b1 R +b10100110000 E +b10100101100 - +b10100101100 5 +b10100101100 G +b10100101100 U +b10010 f +1$ +#3312 +0$ +#3313 +b10011 f +b10 R +1$ +#3314 +0$ +#3315 +b11 R +b10100 f +1$ +#3316 +0$ +#3317 +b10101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3318 +0$ +#3319 +b0 R +0% +0M +b10100110000 D +b10110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3320 +0$ +#3321 +b10111 f +b1 R +b10100110100 E +b10100110000 - +b10100110000 5 +b10100110000 G +b10100110000 U +1$ +#3322 +0$ +#3323 +b10 R +b11000 f +1$ +#3324 +0$ +#3325 +b11001 f +b11 R +1$ +#3326 +0$ +#3327 +b100 R +b11010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3328 +0$ +#3329 +b11011 f +b0 R +0% +0M +b10100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3330 +0$ +#3331 +b1 R +b10100111000 E +b10100110100 - +b10100110100 5 +b10100110100 G +b10100110100 U +b11100 f +1$ +#3332 +0$ +#3333 +b11101 f +b10 R +1$ +#3334 +0$ +#3335 +b11 R +b11110 f +1$ +#3336 +0$ +#3337 +b11111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3338 +0$ +#3339 +b0 R +0% +0M +b10100111000 D +b100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3340 +0$ +#3341 +b100001 f +b1 R +b10100111100 E +b10100111000 - +b10100111000 5 +b10100111000 G +b10100111000 U +1$ +#3342 +0$ +#3343 +b10 R +b100010 f +1$ +#3344 +0$ +#3345 +b100011 f +b11 R +1$ +#3346 +0$ +#3347 +b100 R +b100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3348 +0$ +#3349 +b100101 f +b0 R +0% +0M +b10100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3350 +0$ +#3351 +b1 R +b10101000000 E +b10100111100 - +b10100111100 5 +b10100111100 G +b10100111100 U +b100110 f +1$ +#3352 +0$ +#3353 +b100111 f +b10 R +1$ +#3354 +0$ +#3355 +b11 R +b101000 f +1$ +#3356 +0$ +#3357 +b101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3358 +0$ +#3359 +b0 R +0% +0M +b10101000000 D +b101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3360 +0$ +#3361 +b101011 f +b1 R +b10101000100 E +b10101000000 - +b10101000000 5 +b10101000000 G +b10101000000 U +1$ +#3362 +0$ +#3363 +b10 R +b101100 f +1$ +#3364 +0$ +#3365 +b101101 f +b11 R +1$ +#3366 +0$ +#3367 +b100 R +b101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3368 +0$ +#3369 +b101111 f +b0 R +0% +0M +b10101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3370 +0$ +#3371 +b1 R +b10101001000 E +b10101000100 - +b10101000100 5 +b10101000100 G +b10101000100 U +b110000 f +1$ +#3372 +0$ +#3373 +b110001 f +b10 R +1$ +#3374 +0$ +#3375 +b11 R +b110010 f +1$ +#3376 +0$ +#3377 +b110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3378 +0$ +#3379 +b0 R +0% +0M +b10101001000 D +b110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3380 +0$ +#3381 +b110101 f +b1 R +b10101001100 E +b10101001000 - +b10101001000 5 +b10101001000 G +b10101001000 U +1$ +#3382 +0$ +#3383 +b10 R +b110110 f +1$ +#3384 +0$ +#3385 +b110111 f +b11 R +1$ +#3386 +0$ +#3387 +b100 R +b111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3388 +0$ +#3389 +b111001 f +b0 R +0% +0M +b10101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3390 +0$ +#3391 +b1 R +b10101010000 E +b10101001100 - +b10101001100 5 +b10101001100 G +b10101001100 U +b111010 f +1$ +#3392 +0$ +#3393 +b111011 f +b10 R +1$ +#3394 +0$ +#3395 +b11 R +b111100 f +1$ +#3396 +0$ +#3397 +b111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3398 +0$ +#3399 +b0 R +0% +0M +b10101010000 D +b111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3400 +0$ +#3401 +b111111 f +b1 R +b10101010100 E +b10101010000 - +b10101010000 5 +b10101010000 G +b10101010000 U +1$ +#3402 +0$ +#3403 +b10 R +b1000000 f +1$ +#3404 +0$ +#3405 +b1000001 f +b11 R +1$ +#3406 +0$ +#3407 +b100 R +b1000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3408 +0$ +#3409 +b1000011 f +b0 R +0% +0M +b10101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3410 +0$ +#3411 +b1 R +b10101011000 E +b10101010100 - +b10101010100 5 +b10101010100 G +b10101010100 U +b1000100 f +1$ +#3412 +0$ +#3413 +b1000101 f +b10 R +1$ +#3414 +0$ +#3415 +b11 R +b1000110 f +1$ +#3416 +0$ +#3417 +b1000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3418 +0$ +#3419 +b0 R +0% +0M +b10101011000 D +b1001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3420 +0$ +#3421 +b1001001 f +b1 R +b10101011100 E +b10101011000 - +b10101011000 5 +b10101011000 G +b10101011000 U +1$ +#3422 +0$ +#3423 +b10 R +b1001010 f +1$ +#3424 +0$ +#3425 +b1001011 f +b11 R +1$ +#3426 +0$ +#3427 +b100 R +b1001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3428 +0$ +#3429 +b1001101 f +b0 R +0% +0M +b10101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3430 +0$ +#3431 +b1 R +b10101100000 E +b10101011100 - +b10101011100 5 +b10101011100 G +b10101011100 U +b1001110 f +1$ +#3432 +0$ +#3433 +b1001111 f +b10 R +1$ +#3434 +0$ +#3435 +b11 R +b1010000 f +1$ +#3436 +0$ +#3437 +b1010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3438 +0$ +#3439 +b0 R +0% +0M +b10101100000 D +b1010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3440 +0$ +#3441 +b1010011 f +b1 R +b10101100100 E +b10101100000 - +b10101100000 5 +b10101100000 G +b10101100000 U +1$ +#3442 +0$ +#3443 +b10 R +b1010100 f +1$ +#3444 +0$ +#3445 +b1010101 f +b11 R +1$ +#3446 +0$ +#3447 +b100 R +b1010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3448 +0$ +#3449 +b1010111 f +b0 R +0% +0M +b10101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3450 +0$ +#3451 +b1 R +b10101101000 E +b10101100100 - +b10101100100 5 +b10101100100 G +b10101100100 U +b1011000 f +1$ +#3452 +0$ +#3453 +b1011001 f +b10 R +1$ +#3454 +0$ +#3455 +b11 R +b1011010 f +1$ +#3456 +0$ +#3457 +b1011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3458 +0$ +#3459 +b0 R +0% +0M +b10101101000 D +b1011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3460 +0$ +#3461 +b1011101 f +b1 R +b10101101100 E +b10101101000 - +b10101101000 5 +b10101101000 G +b10101101000 U +1$ +#3462 +0$ +#3463 +b10 R +b1011110 f +1$ +#3464 +0$ +#3465 +b1011111 f +b11 R +1$ +#3466 +0$ +#3467 +b100 R +b1100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3468 +0$ +#3469 +b1100001 f +b0 R +0% +0M +b10101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3470 +0$ +#3471 +b1 R +b10101110000 E +b10101101100 - +b10101101100 5 +b10101101100 G +b10101101100 U +b1100010 f +1$ +#3472 +0$ +#3473 +b1100011 f +b10 R +1$ +#3474 +0$ +#3475 +b11 R +b1100100 f +1$ +#3476 +0$ +#3477 +b1100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3478 +0$ +#3479 +b0 R +0% +0M +b10101110000 D +b1100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3480 +0$ +#3481 +b1100111 f +b1 R +b10101110100 E +b10101110000 - +b10101110000 5 +b10101110000 G +b10101110000 U +1$ +#3482 +0$ +#3483 +b10 R +b1101000 f +1$ +#3484 +0$ +#3485 +b1101001 f +b11 R +1$ +#3486 +0$ +#3487 +b100 R +b1101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3488 +0$ +#3489 +b1101011 f +b0 R +0% +0M +b10101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3490 +0$ +#3491 +b1 R +b10101111000 E +b10101110100 - +b10101110100 5 +b10101110100 G +b10101110100 U +b1101100 f +1$ +#3492 +0$ +#3493 +b1101101 f +b10 R +1$ +#3494 +0$ +#3495 +b11 R +b1101110 f +1$ +#3496 +0$ +#3497 +b1101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3498 +0$ +#3499 +b0 R +0% +0M +b10101111000 D +b1110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3500 +0$ +#3501 +b1110001 f +b1 R +b10101111100 E +b10101111000 - +b10101111000 5 +b10101111000 G +b10101111000 U +1$ +#3502 +0$ +#3503 +b10 R +b1110010 f +1$ +#3504 +0$ +#3505 +b1110011 f +b11 R +1$ +#3506 +0$ +#3507 +b100 R +b1110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3508 +0$ +#3509 +b1110101 f +b0 R +0% +0M +b10101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3510 +0$ +#3511 +b1 R +b10110000000 E +b10101111100 - +b10101111100 5 +b10101111100 G +b10101111100 U +b1110110 f +1$ +#3512 +0$ +#3513 +b1110111 f +b10 R +1$ +#3514 +0$ +#3515 +b11 R +b1111000 f +1$ +#3516 +0$ +#3517 +b1111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3518 +0$ +#3519 +b0 R +0% +0M +b10110000000 D +b1111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3520 +0$ +#3521 +b1111011 f +b1 R +b10110000100 E +b10110000000 - +b10110000000 5 +b10110000000 G +b10110000000 U +1$ +#3522 +0$ +#3523 +b10 R +b1111100 f +1$ +#3524 +0$ +#3525 +b1111101 f +b11 R +1$ +#3526 +0$ +#3527 +b100 R +b1111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3528 +0$ +#3529 +b1111111 f +b0 R +0% +0M +b10110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3530 +0$ +#3531 +b1 R +b10110001000 E +b10110000100 - +b10110000100 5 +b10110000100 G +b10110000100 U +b10000000 f +1$ +#3532 +0$ +#3533 +b10000001 f +b10 R +1$ +#3534 +0$ +#3535 +b11 R +b10000010 f +1$ +#3536 +0$ +#3537 +b10000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3538 +0$ +#3539 +b0 R +0% +0M +b10110001000 D +b10000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3540 +0$ +#3541 +b10000101 f +b1 R +b10110001100 E +b10110001000 - +b10110001000 5 +b10110001000 G +b10110001000 U +1$ +#3542 +0$ +#3543 +b10 R +b10000110 f +1$ +#3544 +0$ +#3545 +b10000111 f +b11 R +1$ +#3546 +0$ +#3547 +b100 R +b10001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3548 +0$ +#3549 +b10001001 f +b0 R +0% +0M +b10110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3550 +0$ +#3551 +b1 R +b10110010000 E +b10110001100 - +b10110001100 5 +b10110001100 G +b10110001100 U +b10001010 f +1$ +#3552 +0$ +#3553 +b10001011 f +b10 R +1$ +#3554 +0$ +#3555 +b11 R +b10001100 f +1$ +#3556 +0$ +#3557 +b10001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3558 +0$ +#3559 +b0 R +0% +0M +b10110010000 D +b10001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3560 +0$ +#3561 +b10001111 f +b1 R +b10110010100 E +b10110010000 - +b10110010000 5 +b10110010000 G +b10110010000 U +1$ +#3562 +0$ +#3563 +b10 R +b10010000 f +1$ +#3564 +0$ +#3565 +b10010001 f +b11 R +1$ +#3566 +0$ +#3567 +b100 R +b10010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3568 +0$ +#3569 +b10010011 f +b0 R +0% +0M +b10110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3570 +0$ +#3571 +b1 R +b10110011000 E +b10110010100 - +b10110010100 5 +b10110010100 G +b10110010100 U +b10010100 f +1$ +#3572 +0$ +#3573 +b10010101 f +b10 R +1$ +#3574 +0$ +#3575 +b11 R +b10010110 f +1$ +#3576 +0$ +#3577 +b10010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3578 +0$ +#3579 +b0 R +0% +0M +b10110011000 D +b10011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3580 +0$ +#3581 +b10011001 f +b1 R +b10110011100 E +b10110011000 - +b10110011000 5 +b10110011000 G +b10110011000 U +1$ +#3582 +0$ +#3583 +b10 R +b10011010 f +1$ +#3584 +0$ +#3585 +b10011011 f +b11 R +1$ +#3586 +0$ +#3587 +b100 R +b10011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3588 +0$ +#3589 +b10011101 f +b0 R +0% +0M +b10110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3590 +0$ +#3591 +b1 R +b10110100000 E +b10110011100 - +b10110011100 5 +b10110011100 G +b10110011100 U +b10011110 f +1$ +#3592 +0$ +#3593 +b10011111 f +b10 R +1$ +#3594 +0$ +#3595 +b11 R +b10100000 f +1$ +#3596 +0$ +#3597 +b10100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3598 +0$ +#3599 +b0 R +0% +0M +b10110100000 D +b10100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3600 +0$ +#3601 +b10100011 f +b1 R +b10110100100 E +b10110100000 - +b10110100000 5 +b10110100000 G +b10110100000 U +1$ +#3602 +0$ +#3603 +b10 R +b10100100 f +1$ +#3604 +0$ +#3605 +b10100101 f +b11 R +1$ +#3606 +0$ +#3607 +b100 R +b10100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3608 +0$ +#3609 +b10100111 f +b0 R +0% +0M +b10110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3610 +0$ +#3611 +b1 R +b10110101000 E +b10110100100 - +b10110100100 5 +b10110100100 G +b10110100100 U +b10101000 f +1$ +#3612 +0$ +#3613 +b10101001 f +b10 R +1$ +#3614 +0$ +#3615 +b11 R +b10101010 f +1$ +#3616 +0$ +#3617 +b10101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3618 +0$ +#3619 +b0 R +0% +0M +b10110101000 D +b10101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3620 +0$ +#3621 +b10101101 f +b1 R +b10110101100 E +b10110101000 - +b10110101000 5 +b10110101000 G +b10110101000 U +1$ +#3622 +0$ +#3623 +b10 R +b10101110 f +1$ +#3624 +0$ +#3625 +b10101111 f +b11 R +1$ +#3626 +0$ +#3627 +b100 R +b10110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3628 +0$ +#3629 +b10110001 f +b0 R +0% +0M +b10110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3630 +0$ +#3631 +b1 R +b10110110000 E +b10110101100 - +b10110101100 5 +b10110101100 G +b10110101100 U +b10110010 f +1$ +#3632 +0$ +#3633 +b10110011 f +b10 R +1$ +#3634 +0$ +#3635 +b11 R +b10110100 f +1$ +#3636 +0$ +#3637 +b10110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3638 +0$ +#3639 +b0 R +0% +0M +b10110110000 D +b10110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3640 +0$ +#3641 +b10110111 f +b1 R +b10110110100 E +b10110110000 - +b10110110000 5 +b10110110000 G +b10110110000 U +1$ +#3642 +0$ +#3643 +b10 R +b10111000 f +1$ +#3644 +0$ +#3645 +b10111001 f +b11 R +1$ +#3646 +0$ +#3647 +b100 R +b10111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3648 +0$ +#3649 +b10111011 f +b0 R +0% +0M +b10110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3650 +0$ +#3651 +b1 R +b10110111000 E +b10110110100 - +b10110110100 5 +b10110110100 G +b10110110100 U +b10111100 f +1$ +#3652 +0$ +#3653 +b10111101 f +b10 R +1$ +#3654 +0$ +#3655 +b11 R +b10111110 f +1$ +#3656 +0$ +#3657 +b10111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3658 +0$ +#3659 +b0 R +0% +0M +b10110111000 D +b11000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3660 +0$ +#3661 +b11000001 f +b1 R +b10110111100 E +b10110111000 - +b10110111000 5 +b10110111000 G +b10110111000 U +1$ +#3662 +0$ +#3663 +b10 R +b11000010 f +1$ +#3664 +0$ +#3665 +b11000011 f +b11 R +1$ +#3666 +0$ +#3667 +b100 R +b11000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3668 +0$ +#3669 +b11000101 f +b0 R +0% +0M +b10110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3670 +0$ +#3671 +b1 R +b10111000000 E +b10110111100 - +b10110111100 5 +b10110111100 G +b10110111100 U +b11000110 f +1$ +#3672 +0$ +#3673 +b11000111 f +b10 R +1$ +#3674 +0$ +#3675 +b11 R +b11001000 f +1$ +#3676 +0$ +#3677 +b11001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3678 +0$ +#3679 +b0 R +0% +0M +b10111000000 D +b11001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3680 +0$ +#3681 +b11001011 f +b1 R +b10111000100 E +b10111000000 - +b10111000000 5 +b10111000000 G +b10111000000 U +1$ +#3682 +0$ +#3683 +b10 R +b11001100 f +1$ +#3684 +0$ +#3685 +b11001101 f +b11 R +1$ +#3686 +0$ +#3687 +b100 R +b11001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3688 +0$ +#3689 +b11001111 f +b0 R +0% +0M +b10111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3690 +0$ +#3691 +b1 R +b10111001000 E +b10111000100 - +b10111000100 5 +b10111000100 G +b10111000100 U +b11010000 f +1$ +#3692 +0$ +#3693 +b11010001 f +b10 R +1$ +#3694 +0$ +#3695 +b11 R +b11010010 f +1$ +#3696 +0$ +#3697 +b11010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3698 +0$ +#3699 +b0 R +0% +0M +b10111001000 D +b11010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3700 +0$ +#3701 +b11010101 f +b1 R +b10111001100 E +b10111001000 - +b10111001000 5 +b10111001000 G +b10111001000 U +1$ +#3702 +0$ +#3703 +b10 R +b11010110 f +1$ +#3704 +0$ +#3705 +b11010111 f +b11 R +1$ +#3706 +0$ +#3707 +b100 R +b11011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3708 +0$ +#3709 +b11011001 f +b0 R +0% +0M +b10111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3710 +0$ +#3711 +b1 R +b10111010000 E +b10111001100 - +b10111001100 5 +b10111001100 G +b10111001100 U +b11011010 f +1$ +#3712 +0$ +#3713 +b11011011 f +b10 R +1$ +#3714 +0$ +#3715 +b11 R +b11011100 f +1$ +#3716 +0$ +#3717 +b11011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3718 +0$ +#3719 +b0 R +0% +0M +b10111010000 D +b11011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3720 +0$ +#3721 +b11011111 f +b1 R +b10111010100 E +b10111010000 - +b10111010000 5 +b10111010000 G +b10111010000 U +1$ +#3722 +0$ +#3723 +b10 R +b11100000 f +1$ +#3724 +0$ +#3725 +b11100001 f +b11 R +1$ +#3726 +0$ +#3727 +b100 R +b11100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3728 +0$ +#3729 +b11100011 f +b0 R +0% +0M +b10111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3730 +0$ +#3731 +b1 R +b10111011000 E +b10111010100 - +b10111010100 5 +b10111010100 G +b10111010100 U +b11100100 f +1$ +#3732 +0$ +#3733 +b11100101 f +b10 R +1$ +#3734 +0$ +#3735 +b11 R +b11100110 f +1$ +#3736 +0$ +#3737 +b11100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3738 +0$ +#3739 +b0 R +0% +0M +b10111011000 D +b11101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3740 +0$ +#3741 +b11101001 f +b1 R +b10111011100 E +b10111011000 - +b10111011000 5 +b10111011000 G +b10111011000 U +1$ +#3742 +0$ +#3743 +b10 R +1] +b0 f +1$ +#3744 +0$ +#3745 +0] +b1 f +b110 k +b11 R +1$ +#3746 +0$ +#3747 +b100 R +1! +1m +b10 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3748 +0$ +#3749 +b11 f +b0 R +0% +0M +b10111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3750 +0$ +#3751 +b1 R +b10111100000 E +b10111011100 - +b10111011100 5 +b10111011100 G +b10111011100 U +b100 f +1$ +#3752 +0$ +#3753 +b101 f +b10 R +1$ +#3754 +0$ +#3755 +b11 R +b110 f +1$ +#3756 +0$ +#3757 +b111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3758 +0$ +#3759 +b0 R +0% +0M +b10111100000 D +b1000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3760 +0$ +#3761 +b1001 f +b1 R +b10111100100 E +b10111100000 - +b10111100000 5 +b10111100000 G +b10111100000 U +1$ +#3762 +0$ +#3763 +b10 R +b1010 f +1$ +#3764 +0$ +#3765 +b1011 f +b11 R +1$ +#3766 +0$ +#3767 +b100 R +b1100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3768 +0$ +#3769 +b1101 f +b0 R +0% +0M +b10111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3770 +0$ +#3771 +b1 R +b10111101000 E +b10111100100 - +b10111100100 5 +b10111100100 G +b10111100100 U +b1110 f +1$ +#3772 +0$ +#3773 +b1111 f +b10 R +1$ +#3774 +0$ +#3775 +b11 R +b10000 f +1$ +#3776 +0$ +#3777 +b10001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3778 +0$ +#3779 +b0 R +0% +0M +b10111101000 D +b10010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3780 +0$ +#3781 +b10011 f +b1 R +b10111101100 E +b10111101000 - +b10111101000 5 +b10111101000 G +b10111101000 U +1$ +#3782 +0$ +#3783 +b10 R +b10100 f +1$ +#3784 +0$ +#3785 +b10101 f +b11 R +1$ +#3786 +0$ +#3787 +b100 R +b10110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3788 +0$ +#3789 +b10111 f +b0 R +0% +0M +b10111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3790 +0$ +#3791 +b1 R +b10111110000 E +b10111101100 - +b10111101100 5 +b10111101100 G +b10111101100 U +b11000 f +1$ +#3792 +0$ +#3793 +b11001 f +b10 R +1$ +#3794 +0$ +#3795 +b11 R +b11010 f +1$ +#3796 +0$ +#3797 +b11011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3798 +0$ +#3799 +b0 R +0% +0M +b10111110000 D +b11100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3800 +0$ +#3801 +b11101 f +b1 R +b10111110100 E +b10111110000 - +b10111110000 5 +b10111110000 G +b10111110000 U +1$ +#3802 +0$ +#3803 +b10 R +b11110 f +1$ +#3804 +0$ +#3805 +b11111 f +b11 R +1$ +#3806 +0$ +#3807 +b100 R +b100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3808 +0$ +#3809 +b100001 f +b0 R +0% +0M +b10111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3810 +0$ +#3811 +b1 R +b10111111000 E +b10111110100 - +b10111110100 5 +b10111110100 G +b10111110100 U +b100010 f +1$ +#3812 +0$ +#3813 +b100011 f +b10 R +1$ +#3814 +0$ +#3815 +b11 R +b100100 f +1$ +#3816 +0$ +#3817 +b100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3818 +0$ +#3819 +b0 R +0% +0M +b10111111000 D +b100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3820 +0$ +#3821 +b100111 f +b1 R +b10111111100 E +b10111111000 - +b10111111000 5 +b10111111000 G +b10111111000 U +1$ +#3822 +0$ +#3823 +b10 R +b101000 f +1$ +#3824 +0$ +#3825 +b101001 f +b11 R +1$ +#3826 +0$ +#3827 +b100 R +b101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3828 +0$ +#3829 +b101011 f +b0 R +0% +0M +b10111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3830 +0$ +#3831 +b1 R +b11000000000 E +b10111111100 - +b10111111100 5 +b10111111100 G +b10111111100 U +b101100 f +1$ +#3832 +0$ +#3833 +b101101 f +b10 R +1$ +#3834 +0$ +#3835 +b11 R +b101110 f +1$ +#3836 +0$ +#3837 +b101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3838 +0$ +#3839 +b0 R +0% +0M +b11000000000 D +b110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3840 +0$ +#3841 +b110001 f +b1 R +b11000000100 E +b11000000000 - +b11000000000 5 +b11000000000 G +b11000000000 U +1$ +#3842 +0$ +#3843 +b10 R +b110010 f +1$ +#3844 +0$ +#3845 +b110011 f +b11 R +1$ +#3846 +0$ +#3847 +b100 R +b110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3848 +0$ +#3849 +b110101 f +b0 R +0% +0M +b11000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3850 +0$ +#3851 +b1 R +b11000001000 E +b11000000100 - +b11000000100 5 +b11000000100 G +b11000000100 U +b110110 f +1$ +#3852 +0$ +#3853 +b110111 f +b10 R +1$ +#3854 +0$ +#3855 +b11 R +b111000 f +1$ +#3856 +0$ +#3857 +b111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3858 +0$ +#3859 +b0 R +0% +0M +b11000001000 D +b111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3860 +0$ +#3861 +b111011 f +b1 R +b11000001100 E +b11000001000 - +b11000001000 5 +b11000001000 G +b11000001000 U +1$ +#3862 +0$ +#3863 +b10 R +b111100 f +1$ +#3864 +0$ +#3865 +b111101 f +b11 R +1$ +#3866 +0$ +#3867 +b100 R +b111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3868 +0$ +#3869 +b111111 f +b0 R +0% +0M +b11000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3870 +0$ +#3871 +b1 R +b11000010000 E +b11000001100 - +b11000001100 5 +b11000001100 G +b11000001100 U +b1000000 f +1$ +#3872 +0$ +#3873 +b1000001 f +b10 R +1$ +#3874 +0$ +#3875 +b11 R +b1000010 f +1$ +#3876 +0$ +#3877 +b1000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3878 +0$ +#3879 +b0 R +0% +0M +b11000010000 D +b1000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3880 +0$ +#3881 +b1000101 f +b1 R +b11000010100 E +b11000010000 - +b11000010000 5 +b11000010000 G +b11000010000 U +1$ +#3882 +0$ +#3883 +b10 R +b1000110 f +1$ +#3884 +0$ +#3885 +b1000111 f +b11 R +1$ +#3886 +0$ +#3887 +b100 R +b1001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3888 +0$ +#3889 +b1001001 f +b0 R +0% +0M +b11000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3890 +0$ +#3891 +b1 R +b11000011000 E +b11000010100 - +b11000010100 5 +b11000010100 G +b11000010100 U +b1001010 f +1$ +#3892 +0$ +#3893 +b1001011 f +b10 R +1$ +#3894 +0$ +#3895 +b11 R +b1001100 f +1$ +#3896 +0$ +#3897 +b1001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3898 +0$ +#3899 +b0 R +0% +0M +b11000011000 D +b1001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3900 +0$ +#3901 +b1001111 f +b1 R +b11000011100 E +b11000011000 - +b11000011000 5 +b11000011000 G +b11000011000 U +1$ +#3902 +0$ +#3903 +b10 R +b1010000 f +1$ +#3904 +0$ +#3905 +b1010001 f +b11 R +1$ +#3906 +0$ +#3907 +b100 R +b1010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3908 +0$ +#3909 +b1010011 f +b0 R +0% +0M +b11000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3910 +0$ +#3911 +b1 R +b11000100000 E +b11000011100 - +b11000011100 5 +b11000011100 G +b11000011100 U +b1010100 f +1$ +#3912 +0$ +#3913 +b1010101 f +b10 R +1$ +#3914 +0$ +#3915 +b11 R +b1010110 f +1$ +#3916 +0$ +#3917 +b1010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3918 +0$ +#3919 +b0 R +0% +0M +b11000100000 D +b1011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3920 +0$ +#3921 +b1011001 f +b1 R +b11000100100 E +b11000100000 - +b11000100000 5 +b11000100000 G +b11000100000 U +1$ +#3922 +0$ +#3923 +b10 R +b1011010 f +1$ +#3924 +0$ +#3925 +b1011011 f +b11 R +1$ +#3926 +0$ +#3927 +b100 R +b1011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3928 +0$ +#3929 +b1011101 f +b0 R +0% +0M +b11000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3930 +0$ +#3931 +b1 R +b11000101000 E +b11000100100 - +b11000100100 5 +b11000100100 G +b11000100100 U +b1011110 f +1$ +#3932 +0$ +#3933 +b1011111 f +b10 R +1$ +#3934 +0$ +#3935 +b11 R +b1100000 f +1$ +#3936 +0$ +#3937 +b1100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3938 +0$ +#3939 +b0 R +0% +0M +b11000101000 D +b1100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3940 +0$ +#3941 +b1100011 f +b1 R +b11000101100 E +b11000101000 - +b11000101000 5 +b11000101000 G +b11000101000 U +1$ +#3942 +0$ +#3943 +b10 R +b1100100 f +1$ +#3944 +0$ +#3945 +b1100101 f +b11 R +1$ +#3946 +0$ +#3947 +b100 R +b1100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3948 +0$ +#3949 +b1100111 f +b0 R +0% +0M +b11000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3950 +0$ +#3951 +b1 R +b11000110000 E +b11000101100 - +b11000101100 5 +b11000101100 G +b11000101100 U +b1101000 f +1$ +#3952 +0$ +#3953 +b1101001 f +b10 R +1$ +#3954 +0$ +#3955 +b11 R +b1101010 f +1$ +#3956 +0$ +#3957 +b1101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3958 +0$ +#3959 +b0 R +0% +0M +b11000110000 D +b1101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3960 +0$ +#3961 +b1101101 f +b1 R +b11000110100 E +b11000110000 - +b11000110000 5 +b11000110000 G +b11000110000 U +1$ +#3962 +0$ +#3963 +b10 R +b1101110 f +1$ +#3964 +0$ +#3965 +b1101111 f +b11 R +1$ +#3966 +0$ +#3967 +b100 R +b1110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3968 +0$ +#3969 +b1110001 f +b0 R +0% +0M +b11000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3970 +0$ +#3971 +b1 R +b11000111000 E +b11000110100 - +b11000110100 5 +b11000110100 G +b11000110100 U +b1110010 f +1$ +#3972 +0$ +#3973 +b1110011 f +b10 R +1$ +#3974 +0$ +#3975 +b11 R +b1110100 f +1$ +#3976 +0$ +#3977 +b1110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3978 +0$ +#3979 +b0 R +0% +0M +b11000111000 D +b1110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3980 +0$ +#3981 +b1110111 f +b1 R +b11000111100 E +b11000111000 - +b11000111000 5 +b11000111000 G +b11000111000 U +1$ +#3982 +0$ +#3983 +b10 R +b1111000 f +1$ +#3984 +0$ +#3985 +b1111001 f +b11 R +1$ +#3986 +0$ +#3987 +b100 R +b1111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3988 +0$ +#3989 +b1111011 f +b0 R +0% +0M +b11000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#3990 +0$ +#3991 +b1 R +b11001000000 E +b11000111100 - +b11000111100 5 +b11000111100 G +b11000111100 U +b1111100 f +1$ +#3992 +0$ +#3993 +b1111101 f +b10 R +1$ +#3994 +0$ +#3995 +b11 R +b1111110 f +1$ +#3996 +0$ +#3997 +b1111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#3998 +0$ +#3999 +b0 R +0% +0M +b11001000000 D +b10000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4000 +0$ +#4001 +b10000001 f +b1 R +b11001000100 E +b11001000000 - +b11001000000 5 +b11001000000 G +b11001000000 U +1$ +#4002 +0$ +#4003 +b10 R +b10000010 f +1$ +#4004 +0$ +#4005 +b10000011 f +b11 R +1$ +#4006 +0$ +#4007 +b100 R +b10000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4008 +0$ +#4009 +b10000101 f +b0 R +0% +0M +b11001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4010 +0$ +#4011 +b1 R +b11001001000 E +b11001000100 - +b11001000100 5 +b11001000100 G +b11001000100 U +b10000110 f +1$ +#4012 +0$ +#4013 +b10000111 f +b10 R +1$ +#4014 +0$ +#4015 +b11 R +b10001000 f +1$ +#4016 +0$ +#4017 +b10001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4018 +0$ +#4019 +b0 R +0% +0M +b11001001000 D +b10001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4020 +0$ +#4021 +b10001011 f +b1 R +b11001001100 E +b11001001000 - +b11001001000 5 +b11001001000 G +b11001001000 U +1$ +#4022 +0$ +#4023 +b10 R +b10001100 f +1$ +#4024 +0$ +#4025 +b10001101 f +b11 R +1$ +#4026 +0$ +#4027 +b100 R +b10001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4028 +0$ +#4029 +b10001111 f +b0 R +0% +0M +b11001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4030 +0$ +#4031 +b1 R +b11001010000 E +b11001001100 - +b11001001100 5 +b11001001100 G +b11001001100 U +b10010000 f +1$ +#4032 +0$ +#4033 +b10010001 f +b10 R +1$ +#4034 +0$ +#4035 +b11 R +b10010010 f +1$ +#4036 +0$ +#4037 +b10010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4038 +0$ +#4039 +b0 R +0% +0M +b11001010000 D +b10010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4040 +0$ +#4041 +b10010101 f +b1 R +b11001010100 E +b11001010000 - +b11001010000 5 +b11001010000 G +b11001010000 U +1$ +#4042 +0$ +#4043 +b10 R +b10010110 f +1$ +#4044 +0$ +#4045 +b10010111 f +b11 R +1$ +#4046 +0$ +#4047 +b100 R +b10011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4048 +0$ +#4049 +b10011001 f +b0 R +0% +0M +b11001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4050 +0$ +#4051 +b1 R +b11001011000 E +b11001010100 - +b11001010100 5 +b11001010100 G +b11001010100 U +b10011010 f +1$ +#4052 +0$ +#4053 +b10011011 f +b10 R +1$ +#4054 +0$ +#4055 +b11 R +b10011100 f +1$ +#4056 +0$ +#4057 +b10011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4058 +0$ +#4059 +b0 R +0% +0M +b11001011000 D +b10011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4060 +0$ +#4061 +b10011111 f +b1 R +b11001011100 E +b11001011000 - +b11001011000 5 +b11001011000 G +b11001011000 U +1$ +#4062 +0$ +#4063 +b10 R +b10100000 f +1$ +#4064 +0$ +#4065 +b10100001 f +b11 R +1$ +#4066 +0$ +#4067 +b100 R +b10100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4068 +0$ +#4069 +b10100011 f +b0 R +0% +0M +b11001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4070 +0$ +#4071 +b1 R +b11001100000 E +b11001011100 - +b11001011100 5 +b11001011100 G +b11001011100 U +b10100100 f +1$ +#4072 +0$ +#4073 +b10100101 f +b10 R +1$ +#4074 +0$ +#4075 +b11 R +b10100110 f +1$ +#4076 +0$ +#4077 +b10100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4078 +0$ +#4079 +b0 R +0% +0M +b11001100000 D +b10101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4080 +0$ +#4081 +b10101001 f +b1 R +b11001100100 E +b11001100000 - +b11001100000 5 +b11001100000 G +b11001100000 U +1$ +#4082 +0$ +#4083 +b10 R +b10101010 f +1$ +#4084 +0$ +#4085 +b10101011 f +b11 R +1$ +#4086 +0$ +#4087 +b100 R +b10101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4088 +0$ +#4089 +b10101101 f +b0 R +0% +0M +b11001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4090 +0$ +#4091 +b1 R +b11001101000 E +b11001100100 - +b11001100100 5 +b11001100100 G +b11001100100 U +b10101110 f +1$ +#4092 +0$ +#4093 +b10101111 f +b10 R +1$ +#4094 +0$ +#4095 +b11 R +b10110000 f +1$ +#4096 +0$ +#4097 +b10110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4098 +0$ +#4099 +b0 R +0% +0M +b11001101000 D +b10110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4100 +0$ +#4101 +b10110011 f +b1 R +b11001101100 E +b11001101000 - +b11001101000 5 +b11001101000 G +b11001101000 U +1$ +#4102 +0$ +#4103 +b10 R +b10110100 f +1$ +#4104 +0$ +#4105 +b10110101 f +b11 R +1$ +#4106 +0$ +#4107 +b100 R +b10110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4108 +0$ +#4109 +b10110111 f +b0 R +0% +0M +b11001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4110 +0$ +#4111 +b1 R +b11001110000 E +b11001101100 - +b11001101100 5 +b11001101100 G +b11001101100 U +b10111000 f +1$ +#4112 +0$ +#4113 +b10111001 f +b10 R +1$ +#4114 +0$ +#4115 +b11 R +b10111010 f +1$ +#4116 +0$ +#4117 +b10111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4118 +0$ +#4119 +b0 R +0% +0M +b11001110000 D +b10111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4120 +0$ +#4121 +b10111101 f +b1 R +b11001110100 E +b11001110000 - +b11001110000 5 +b11001110000 G +b11001110000 U +1$ +#4122 +0$ +#4123 +b10 R +b10111110 f +1$ +#4124 +0$ +#4125 +b10111111 f +b11 R +1$ +#4126 +0$ +#4127 +b100 R +b11000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4128 +0$ +#4129 +b11000001 f +b0 R +0% +0M +b11001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4130 +0$ +#4131 +b1 R +b11001111000 E +b11001110100 - +b11001110100 5 +b11001110100 G +b11001110100 U +b11000010 f +1$ +#4132 +0$ +#4133 +b11000011 f +b10 R +1$ +#4134 +0$ +#4135 +b11 R +b11000100 f +1$ +#4136 +0$ +#4137 +b11000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4138 +0$ +#4139 +b0 R +0% +0M +b11001111000 D +b11000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4140 +0$ +#4141 +b11000111 f +b1 R +b11001111100 E +b11001111000 - +b11001111000 5 +b11001111000 G +b11001111000 U +1$ +#4142 +0$ +#4143 +b10 R +b11001000 f +1$ +#4144 +0$ +#4145 +b11001001 f +b11 R +1$ +#4146 +0$ +#4147 +b100 R +b11001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4148 +0$ +#4149 +b11001011 f +b0 R +0% +0M +b11001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4150 +0$ +#4151 +b1 R +b11010000000 E +b11001111100 - +b11001111100 5 +b11001111100 G +b11001111100 U +b11001100 f +1$ +#4152 +0$ +#4153 +b11001101 f +b10 R +1$ +#4154 +0$ +#4155 +b11 R +b11001110 f +1$ +#4156 +0$ +#4157 +b11001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4158 +0$ +#4159 +b0 R +0% +0M +b11010000000 D +b11010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4160 +0$ +#4161 +b11010001 f +b1 R +b11010000100 E +b11010000000 - +b11010000000 5 +b11010000000 G +b11010000000 U +1$ +#4162 +0$ +#4163 +b10 R +b11010010 f +1$ +#4164 +0$ +#4165 +b11010011 f +b11 R +1$ +#4166 +0$ +#4167 +b100 R +b11010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4168 +0$ +#4169 +b11010101 f +b0 R +0% +0M +b11010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4170 +0$ +#4171 +b1 R +b11010001000 E +b11010000100 - +b11010000100 5 +b11010000100 G +b11010000100 U +b11010110 f +1$ +#4172 +0$ +#4173 +b11010111 f +b10 R +1$ +#4174 +0$ +#4175 +b11 R +b11011000 f +1$ +#4176 +0$ +#4177 +b11011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4178 +0$ +#4179 +b0 R +0% +0M +b11010001000 D +b11011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4180 +0$ +#4181 +b11011011 f +b1 R +b11010001100 E +b11010001000 - +b11010001000 5 +b11010001000 G +b11010001000 U +1$ +#4182 +0$ +#4183 +b10 R +b11011100 f +1$ +#4184 +0$ +#4185 +b11011101 f +b11 R +1$ +#4186 +0$ +#4187 +b100 R +b11011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4188 +0$ +#4189 +b11011111 f +b0 R +0% +0M +b11010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4190 +0$ +#4191 +b1 R +b11010010000 E +b11010001100 - +b11010001100 5 +b11010001100 G +b11010001100 U +b11100000 f +1$ +#4192 +0$ +#4193 +b11100001 f +b10 R +1$ +#4194 +0$ +#4195 +b11 R +b11100010 f +1$ +#4196 +0$ +#4197 +b11100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4198 +0$ +#4199 +b0 R +0% +0M +b11010010000 D +b11100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4200 +0$ +#4201 +b11100101 f +b1 R +b11010010100 E +b11010010000 - +b11010010000 5 +b11010010000 G +b11010010000 U +1$ +#4202 +0$ +#4203 +b10 R +b11100110 f +1$ +#4204 +0$ +#4205 +b11100111 f +b11 R +1$ +#4206 +0$ +#4207 +b100 R +b11101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4208 +0$ +#4209 +b11101001 f +b0 R +0% +0M +b11010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4210 +0$ +#4211 +b1 R +b11010011000 E +b11010010100 - +b11010010100 5 +b11010010100 G +b11010010100 U +1] +b0 f +1$ +#4212 +0$ +#4213 +0] +b1 f +b111 k +b10 R +1$ +#4214 +0$ +#4215 +b11 R +0! +0m +b10 f +1$ +#4216 +0$ +#4217 +b11 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4218 +0$ +#4219 +b0 R +0% +0M +b11010011000 D +b100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4220 +0$ +#4221 +b101 f +b1 R +b11010011100 E +b11010011000 - +b11010011000 5 +b11010011000 G +b11010011000 U +1$ +#4222 +0$ +#4223 +b10 R +b110 f +1$ +#4224 +0$ +#4225 +b111 f +b11 R +1$ +#4226 +0$ +#4227 +b100 R +b1000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4228 +0$ +#4229 +b1001 f +b0 R +0% +0M +b11010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4230 +0$ +#4231 +b1 R +b11010100000 E +b11010011100 - +b11010011100 5 +b11010011100 G +b11010011100 U +b1010 f +1$ +#4232 +0$ +#4233 +b1011 f +b10 R +1$ +#4234 +0$ +#4235 +b11 R +b1100 f +1$ +#4236 +0$ +#4237 +b1101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4238 +0$ +#4239 +b0 R +0% +0M +b11010100000 D +b1110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4240 +0$ +#4241 +b1111 f +b1 R +b11010100100 E +b11010100000 - +b11010100000 5 +b11010100000 G +b11010100000 U +1$ +#4242 +0$ +#4243 +b10 R +b10000 f +1$ +#4244 +0$ +#4245 +b10001 f +b11 R +1$ +#4246 +0$ +#4247 +b100 R +b10010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4248 +0$ +#4249 +b10011 f +b0 R +0% +0M +b11010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4250 +0$ +#4251 +b1 R +b11010101000 E +b11010100100 - +b11010100100 5 +b11010100100 G +b11010100100 U +b10100 f +1$ +#4252 +0$ +#4253 +b10101 f +b10 R +1$ +#4254 +0$ +#4255 +b11 R +b10110 f +1$ +#4256 +0$ +#4257 +b10111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4258 +0$ +#4259 +b0 R +0% +0M +b11010101000 D +b11000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4260 +0$ +#4261 +b11001 f +b1 R +b11010101100 E +b11010101000 - +b11010101000 5 +b11010101000 G +b11010101000 U +1$ +#4262 +0$ +#4263 +b10 R +b11010 f +1$ +#4264 +0$ +#4265 +b11011 f +b11 R +1$ +#4266 +0$ +#4267 +b100 R +b11100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4268 +0$ +#4269 +b11101 f +b0 R +0% +0M +b11010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4270 +0$ +#4271 +b1 R +b11010110000 E +b11010101100 - +b11010101100 5 +b11010101100 G +b11010101100 U +b11110 f +1$ +#4272 +0$ +#4273 +b11111 f +b10 R +1$ +#4274 +0$ +#4275 +b11 R +b100000 f +1$ +#4276 +0$ +#4277 +b100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4278 +0$ +#4279 +b0 R +0% +0M +b11010110000 D +b100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4280 +0$ +#4281 +b100011 f +b1 R +b11010110100 E +b11010110000 - +b11010110000 5 +b11010110000 G +b11010110000 U +1$ +#4282 +0$ +#4283 +b10 R +b100100 f +1$ +#4284 +0$ +#4285 +b100101 f +b11 R +1$ +#4286 +0$ +#4287 +b100 R +b100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4288 +0$ +#4289 +b100111 f +b0 R +0% +0M +b11010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4290 +0$ +#4291 +b1 R +b11010111000 E +b11010110100 - +b11010110100 5 +b11010110100 G +b11010110100 U +b101000 f +1$ +#4292 +0$ +#4293 +b101001 f +b10 R +1$ +#4294 +0$ +#4295 +b11 R +b101010 f +1$ +#4296 +0$ +#4297 +b101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4298 +0$ +#4299 +b0 R +0% +0M +b11010111000 D +b101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4300 +0$ +#4301 +b101101 f +b1 R +b11010111100 E +b11010111000 - +b11010111000 5 +b11010111000 G +b11010111000 U +1$ +#4302 +0$ +#4303 +b10 R +b101110 f +1$ +#4304 +0$ +#4305 +b101111 f +b11 R +1$ +#4306 +0$ +#4307 +b100 R +b110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4308 +0$ +#4309 +b110001 f +b0 R +0% +0M +b11010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4310 +0$ +#4311 +b1 R +b11011000000 E +b11010111100 - +b11010111100 5 +b11010111100 G +b11010111100 U +b110010 f +1$ +#4312 +0$ +#4313 +b110011 f +b10 R +1$ +#4314 +0$ +#4315 +b11 R +b110100 f +1$ +#4316 +0$ +#4317 +b110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4318 +0$ +#4319 +b0 R +0% +0M +b11011000000 D +b110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4320 +0$ +#4321 +b110111 f +b1 R +b11011000100 E +b11011000000 - +b11011000000 5 +b11011000000 G +b11011000000 U +1$ +#4322 +0$ +#4323 +b10 R +b111000 f +1$ +#4324 +0$ +#4325 +b111001 f +b11 R +1$ +#4326 +0$ +#4327 +b100 R +b111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4328 +0$ +#4329 +b111011 f +b0 R +0% +0M +b11011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4330 +0$ +#4331 +b1 R +b11011001000 E +b11011000100 - +b11011000100 5 +b11011000100 G +b11011000100 U +b111100 f +1$ +#4332 +0$ +#4333 +b111101 f +b10 R +1$ +#4334 +0$ +#4335 +b11 R +b111110 f +1$ +#4336 +0$ +#4337 +b111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4338 +0$ +#4339 +b0 R +0% +0M +b11011001000 D +b1000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4340 +0$ +#4341 +b1000001 f +b1 R +b11011001100 E +b11011001000 - +b11011001000 5 +b11011001000 G +b11011001000 U +1$ +#4342 +0$ +#4343 +b10 R +b1000010 f +1$ +#4344 +0$ +#4345 +b1000011 f +b11 R +1$ +#4346 +0$ +#4347 +b100 R +b1000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4348 +0$ +#4349 +b1000101 f +b0 R +0% +0M +b11011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4350 +0$ +#4351 +b1 R +b11011010000 E +b11011001100 - +b11011001100 5 +b11011001100 G +b11011001100 U +b1000110 f +1$ +#4352 +0$ +#4353 +b1000111 f +b10 R +1$ +#4354 +0$ +#4355 +b11 R +b1001000 f +1$ +#4356 +0$ +#4357 +b1001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4358 +0$ +#4359 +b0 R +0% +0M +b11011010000 D +b1001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4360 +0$ +#4361 +b1001011 f +b1 R +b11011010100 E +b11011010000 - +b11011010000 5 +b11011010000 G +b11011010000 U +1$ +#4362 +0$ +#4363 +b10 R +b1001100 f +1$ +#4364 +0$ +#4365 +b1001101 f +b11 R +1$ +#4366 +0$ +#4367 +b100 R +b1001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4368 +0$ +#4369 +b1001111 f +b0 R +0% +0M +b11011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4370 +0$ +#4371 +b1 R +b11011011000 E +b11011010100 - +b11011010100 5 +b11011010100 G +b11011010100 U +b1010000 f +1$ +#4372 +0$ +#4373 +b1010001 f +b10 R +1$ +#4374 +0$ +#4375 +b11 R +b1010010 f +1$ +#4376 +0$ +#4377 +b1010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4378 +0$ +#4379 +b0 R +0% +0M +b11011011000 D +b1010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4380 +0$ +#4381 +b1010101 f +b1 R +b11011011100 E +b11011011000 - +b11011011000 5 +b11011011000 G +b11011011000 U +1$ +#4382 +0$ +#4383 +b10 R +b1010110 f +1$ +#4384 +0$ +#4385 +b1010111 f +b11 R +1$ +#4386 +0$ +#4387 +b100 R +b1011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4388 +0$ +#4389 +b1011001 f +b0 R +0% +0M +b11011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4390 +0$ +#4391 +b1 R +b11011100000 E +b11011011100 - +b11011011100 5 +b11011011100 G +b11011011100 U +b1011010 f +1$ +#4392 +0$ +#4393 +b1011011 f +b10 R +1$ +#4394 +0$ +#4395 +b11 R +b1011100 f +1$ +#4396 +0$ +#4397 +b1011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4398 +0$ +#4399 +b0 R +0% +0M +b11011100000 D +b1011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4400 +0$ +#4401 +b1011111 f +b1 R +b11011100100 E +b11011100000 - +b11011100000 5 +b11011100000 G +b11011100000 U +1$ +#4402 +0$ +#4403 +b10 R +b1100000 f +1$ +#4404 +0$ +#4405 +b1100001 f +b11 R +1$ +#4406 +0$ +#4407 +b100 R +b1100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4408 +0$ +#4409 +b1100011 f +b0 R +0% +0M +b11011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4410 +0$ +#4411 +b1 R +b11011101000 E +b11011100100 - +b11011100100 5 +b11011100100 G +b11011100100 U +b1100100 f +1$ +#4412 +0$ +#4413 +b1100101 f +b10 R +1$ +#4414 +0$ +#4415 +b11 R +b1100110 f +1$ +#4416 +0$ +#4417 +b1100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4418 +0$ +#4419 +b0 R +0% +0M +b11011101000 D +b1101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4420 +0$ +#4421 +b1101001 f +b1 R +b11011101100 E +b11011101000 - +b11011101000 5 +b11011101000 G +b11011101000 U +1$ +#4422 +0$ +#4423 +b10 R +b1101010 f +1$ +#4424 +0$ +#4425 +b1101011 f +b11 R +1$ +#4426 +0$ +#4427 +b100 R +b1101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4428 +0$ +#4429 +b1101101 f +b0 R +0% +0M +b11011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4430 +0$ +#4431 +b1 R +b11011110000 E +b11011101100 - +b11011101100 5 +b11011101100 G +b11011101100 U +b1101110 f +1$ +#4432 +0$ +#4433 +b1101111 f +b10 R +1$ +#4434 +0$ +#4435 +b11 R +b1110000 f +1$ +#4436 +0$ +#4437 +b1110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4438 +0$ +#4439 +b0 R +0% +0M +b11011110000 D +b1110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4440 +0$ +#4441 +b1110011 f +b1 R +b11011110100 E +b11011110000 - +b11011110000 5 +b11011110000 G +b11011110000 U +1$ +#4442 +0$ +#4443 +b10 R +b1110100 f +1$ +#4444 +0$ +#4445 +b1110101 f +b11 R +1$ +#4446 +0$ +#4447 +b100 R +b1110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4448 +0$ +#4449 +b1110111 f +b0 R +0% +0M +b11011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4450 +0$ +#4451 +b1 R +b11011111000 E +b11011110100 - +b11011110100 5 +b11011110100 G +b11011110100 U +b1111000 f +1$ +#4452 +0$ +#4453 +b1111001 f +b10 R +1$ +#4454 +0$ +#4455 +b11 R +b1111010 f +1$ +#4456 +0$ +#4457 +b1111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4458 +0$ +#4459 +b0 R +0% +0M +b11011111000 D +b1111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4460 +0$ +#4461 +b1111101 f +b1 R +b11011111100 E +b11011111000 - +b11011111000 5 +b11011111000 G +b11011111000 U +1$ +#4462 +0$ +#4463 +b10 R +b1111110 f +1$ +#4464 +0$ +#4465 +b1111111 f +b11 R +1$ +#4466 +0$ +#4467 +b100 R +b10000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4468 +0$ +#4469 +b10000001 f +b0 R +0% +0M +b11011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4470 +0$ +#4471 +b1 R +b11100000000 E +b11011111100 - +b11011111100 5 +b11011111100 G +b11011111100 U +b10000010 f +1$ +#4472 +0$ +#4473 +b10000011 f +b10 R +1$ +#4474 +0$ +#4475 +b11 R +b10000100 f +1$ +#4476 +0$ +#4477 +b10000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4478 +0$ +#4479 +b0 R +0% +0M +b11100000000 D +b10000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4480 +0$ +#4481 +b10000111 f +b1 R +b11100000100 E +b11100000000 - +b11100000000 5 +b11100000000 G +b11100000000 U +1$ +#4482 +0$ +#4483 +b10 R +b10001000 f +1$ +#4484 +0$ +#4485 +b10001001 f +b11 R +1$ +#4486 +0$ +#4487 +b100 R +b10001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4488 +0$ +#4489 +b10001011 f +b0 R +0% +0M +b11100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4490 +0$ +#4491 +b1 R +b11100001000 E +b11100000100 - +b11100000100 5 +b11100000100 G +b11100000100 U +b10001100 f +1$ +#4492 +0$ +#4493 +b10001101 f +b10 R +1$ +#4494 +0$ +#4495 +b11 R +b10001110 f +1$ +#4496 +0$ +#4497 +b10001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4498 +0$ +#4499 +b0 R +0% +0M +b11100001000 D +b10010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4500 +0$ +#4501 +b10010001 f +b1 R +b11100001100 E +b11100001000 - +b11100001000 5 +b11100001000 G +b11100001000 U +1$ +#4502 +0$ +#4503 +b10 R +b10010010 f +1$ +#4504 +0$ +#4505 +b10010011 f +b11 R +1$ +#4506 +0$ +#4507 +b100 R +b10010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4508 +0$ +#4509 +b10010101 f +b0 R +0% +0M +b11100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4510 +0$ +#4511 +b1 R +b11100010000 E +b11100001100 - +b11100001100 5 +b11100001100 G +b11100001100 U +b10010110 f +1$ +#4512 +0$ +#4513 +b10010111 f +b10 R +1$ +#4514 +0$ +#4515 +b11 R +b10011000 f +1$ +#4516 +0$ +#4517 +b10011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4518 +0$ +#4519 +b0 R +0% +0M +b11100010000 D +b10011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4520 +0$ +#4521 +b10011011 f +b1 R +b11100010100 E +b11100010000 - +b11100010000 5 +b11100010000 G +b11100010000 U +1$ +#4522 +0$ +#4523 +b10 R +b10011100 f +1$ +#4524 +0$ +#4525 +b10011101 f +b11 R +1$ +#4526 +0$ +#4527 +b100 R +b10011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4528 +0$ +#4529 +b10011111 f +b0 R +0% +0M +b11100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4530 +0$ +#4531 +b1 R +b11100011000 E +b11100010100 - +b11100010100 5 +b11100010100 G +b11100010100 U +b10100000 f +1$ +#4532 +0$ +#4533 +b10100001 f +b10 R +1$ +#4534 +0$ +#4535 +b11 R +b10100010 f +1$ +#4536 +0$ +#4537 +b10100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4538 +0$ +#4539 +b0 R +0% +0M +b11100011000 D +b10100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4540 +0$ +#4541 +b10100101 f +b1 R +b11100011100 E +b11100011000 - +b11100011000 5 +b11100011000 G +b11100011000 U +1$ +#4542 +0$ +#4543 +b10 R +b10100110 f +1$ +#4544 +0$ +#4545 +b10100111 f +b11 R +1$ +#4546 +0$ +#4547 +b100 R +b10101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4548 +0$ +#4549 +b10101001 f +b0 R +0% +0M +b11100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4550 +0$ +#4551 +b1 R +b11100100000 E +b11100011100 - +b11100011100 5 +b11100011100 G +b11100011100 U +b10101010 f +1$ +#4552 +0$ +#4553 +b10101011 f +b10 R +1$ +#4554 +0$ +#4555 +b11 R +b10101100 f +1$ +#4556 +0$ +#4557 +b10101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4558 +0$ +#4559 +b0 R +0% +0M +b11100100000 D +b10101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4560 +0$ +#4561 +b10101111 f +b1 R +b11100100100 E +b11100100000 - +b11100100000 5 +b11100100000 G +b11100100000 U +1$ +#4562 +0$ +#4563 +b10 R +b10110000 f +1$ +#4564 +0$ +#4565 +b10110001 f +b11 R +1$ +#4566 +0$ +#4567 +b100 R +b10110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4568 +0$ +#4569 +b10110011 f +b0 R +0% +0M +b11100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4570 +0$ +#4571 +b1 R +b11100101000 E +b11100100100 - +b11100100100 5 +b11100100100 G +b11100100100 U +b10110100 f +1$ +#4572 +0$ +#4573 +b10110101 f +b10 R +1$ +#4574 +0$ +#4575 +b11 R +b10110110 f +1$ +#4576 +0$ +#4577 +b10110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4578 +0$ +#4579 +b0 R +0% +0M +b11100101000 D +b10111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4580 +0$ +#4581 +b10111001 f +b1 R +b11100101100 E +b11100101000 - +b11100101000 5 +b11100101000 G +b11100101000 U +1$ +#4582 +0$ +#4583 +b10 R +b10111010 f +1$ +#4584 +0$ +#4585 +b10111011 f +b11 R +1$ +#4586 +0$ +#4587 +b100 R +b10111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4588 +0$ +#4589 +b10111101 f +b0 R +0% +0M +b11100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4590 +0$ +#4591 +b1 R +b11100110000 E +b11100101100 - +b11100101100 5 +b11100101100 G +b11100101100 U +b10111110 f +1$ +#4592 +0$ +#4593 +b10111111 f +b10 R +1$ +#4594 +0$ +#4595 +b11 R +b11000000 f +1$ +#4596 +0$ +#4597 +b11000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4598 +0$ +#4599 +b0 R +0% +0M +b11100110000 D +b11000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4600 +0$ +#4601 +b11000011 f +b1 R +b11100110100 E +b11100110000 - +b11100110000 5 +b11100110000 G +b11100110000 U +1$ +#4602 +0$ +#4603 +b10 R +b11000100 f +1$ +#4604 +0$ +#4605 +b11000101 f +b11 R +1$ +#4606 +0$ +#4607 +b100 R +b11000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4608 +0$ +#4609 +b11000111 f +b0 R +0% +0M +b11100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4610 +0$ +#4611 +b1 R +b11100111000 E +b11100110100 - +b11100110100 5 +b11100110100 G +b11100110100 U +b11001000 f +1$ +#4612 +0$ +#4613 +b11001001 f +b10 R +1$ +#4614 +0$ +#4615 +b11 R +b11001010 f +1$ +#4616 +0$ +#4617 +b11001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4618 +0$ +#4619 +b0 R +0% +0M +b11100111000 D +b11001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4620 +0$ +#4621 +b11001101 f +b1 R +b11100111100 E +b11100111000 - +b11100111000 5 +b11100111000 G +b11100111000 U +1$ +#4622 +0$ +#4623 +b10 R +b11001110 f +1$ +#4624 +0$ +#4625 +b11001111 f +b11 R +1$ +#4626 +0$ +#4627 +b100 R +b11010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4628 +0$ +#4629 +b11010001 f +b0 R +0% +0M +b11100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4630 +0$ +#4631 +b1 R +b11101000000 E +b11100111100 - +b11100111100 5 +b11100111100 G +b11100111100 U +b11010010 f +1$ +#4632 +0$ +#4633 +b11010011 f +b10 R +1$ +#4634 +0$ +#4635 +b11 R +b11010100 f +1$ +#4636 +0$ +#4637 +b11010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4638 +0$ +#4639 +b0 R +0% +0M +b11101000000 D +b11010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4640 +0$ +#4641 +b11010111 f +b1 R +b11101000100 E +b11101000000 - +b11101000000 5 +b11101000000 G +b11101000000 U +1$ +#4642 +0$ +#4643 +b10 R +b11011000 f +1$ +#4644 +0$ +#4645 +b11011001 f +b11 R +1$ +#4646 +0$ +#4647 +b100 R +b11011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4648 +0$ +#4649 +b11011011 f +b0 R +0% +0M +b11101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4650 +0$ +#4651 +b1 R +b11101001000 E +b11101000100 - +b11101000100 5 +b11101000100 G +b11101000100 U +b11011100 f +1$ +#4652 +0$ +#4653 +b11011101 f +b10 R +1$ +#4654 +0$ +#4655 +b11 R +b11011110 f +1$ +#4656 +0$ +#4657 +b11011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4658 +0$ +#4659 +b0 R +0% +0M +b11101001000 D +b11100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4660 +0$ +#4661 +b11100001 f +b1 R +b11101001100 E +b11101001000 - +b11101001000 5 +b11101001000 G +b11101001000 U +1$ +#4662 +0$ +#4663 +b10 R +b11100010 f +1$ +#4664 +0$ +#4665 +b11100011 f +b11 R +1$ +#4666 +0$ +#4667 +b100 R +b11100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4668 +0$ +#4669 +b11100101 f +b0 R +0% +0M +b11101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4670 +0$ +#4671 +b1 R +b11101010000 E +b11101001100 - +b11101001100 5 +b11101001100 G +b11101001100 U +b11100110 f +1$ +#4672 +0$ +#4673 +b11100111 f +b10 R +1$ +#4674 +0$ +#4675 +b11 R +b11101000 f +1$ +#4676 +0$ +#4677 +b11101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4678 +0$ +#4679 +b0 R +0% +0M +b11101010000 D +1] +b0 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4680 +0$ +#4681 +0] +b1 f +b11 l +b1 R +b11101010100 E +b11101010000 - +b11101010000 5 +b11101010000 G +b11101010000 U +1$ +#4682 +0$ +#4683 +b10 R +1! +1m +b10 f +1$ +#4684 +0$ +#4685 +b11 f +b11 R +1$ +#4686 +0$ +#4687 +b100 R +b100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4688 +0$ +#4689 +b101 f +b0 R +0% +0M +b11101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4690 +0$ +#4691 +b1 R +b11101011000 E +b11101010100 - +b11101010100 5 +b11101010100 G +b11101010100 U +b110 f +1$ +#4692 +0$ +#4693 +b111 f +b10 R +1$ +#4694 +0$ +#4695 +b11 R +b1000 f +1$ +#4696 +0$ +#4697 +b1001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4698 +0$ +#4699 +b0 R +0% +0M +b11101011000 D +b1010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4700 +0$ +#4701 +b1011 f +b1 R +b11101011100 E +b11101011000 - +b11101011000 5 +b11101011000 G +b11101011000 U +1$ +#4702 +0$ +#4703 +b10 R +b1100 f +1$ +#4704 +0$ +#4705 +b1101 f +b11 R +1$ +#4706 +0$ +#4707 +b100 R +b1110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4708 +0$ +#4709 +b1111 f +b0 R +0% +0M +b11101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4710 +0$ +#4711 +b1 R +b11101100000 E +b11101011100 - +b11101011100 5 +b11101011100 G +b11101011100 U +b10000 f +1$ +#4712 +0$ +#4713 +b10001 f +b10 R +1$ +#4714 +0$ +#4715 +b11 R +b10010 f +1$ +#4716 +0$ +#4717 +b10011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4718 +0$ +#4719 +b0 R +0% +0M +b11101100000 D +b10100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4720 +0$ +#4721 +b10101 f +b1 R +b11101100100 E +b11101100000 - +b11101100000 5 +b11101100000 G +b11101100000 U +1$ +#4722 +0$ +#4723 +b10 R +b10110 f +1$ +#4724 +0$ +#4725 +b10111 f +b11 R +1$ +#4726 +0$ +#4727 +b100 R +b11000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4728 +0$ +#4729 +b11001 f +b0 R +0% +0M +b11101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4730 +0$ +#4731 +b1 R +b11101101000 E +b11101100100 - +b11101100100 5 +b11101100100 G +b11101100100 U +b11010 f +1$ +#4732 +0$ +#4733 +b11011 f +b10 R +1$ +#4734 +0$ +#4735 +b11 R +b11100 f +1$ +#4736 +0$ +#4737 +b11101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4738 +0$ +#4739 +b0 R +0% +0M +b11101101000 D +b11110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4740 +0$ +#4741 +b11111 f +b1 R +b11101101100 E +b11101101000 - +b11101101000 5 +b11101101000 G +b11101101000 U +1$ +#4742 +0$ +#4743 +b10 R +b100000 f +1$ +#4744 +0$ +#4745 +b100001 f +b11 R +1$ +#4746 +0$ +#4747 +b100 R +b100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4748 +0$ +#4749 +b100011 f +b0 R +0% +0M +b11101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4750 +0$ +#4751 +b1 R +b11101110000 E +b11101101100 - +b11101101100 5 +b11101101100 G +b11101101100 U +b100100 f +1$ +#4752 +0$ +#4753 +b100101 f +b10 R +1$ +#4754 +0$ +#4755 +b11 R +b100110 f +1$ +#4756 +0$ +#4757 +b100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4758 +0$ +#4759 +b0 R +0% +0M +b11101110000 D +b101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4760 +0$ +#4761 +b101001 f +b1 R +b11101110100 E +b11101110000 - +b11101110000 5 +b11101110000 G +b11101110000 U +1$ +#4762 +0$ +#4763 +b10 R +b101010 f +1$ +#4764 +0$ +#4765 +b101011 f +b11 R +1$ +#4766 +0$ +#4767 +b100 R +b101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4768 +0$ +#4769 +b101101 f +b0 R +0% +0M +b11101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4770 +0$ +#4771 +b1 R +b11101111000 E +b11101110100 - +b11101110100 5 +b11101110100 G +b11101110100 U +b101110 f +1$ +#4772 +0$ +#4773 +b101111 f +b10 R +1$ +#4774 +0$ +#4775 +b11 R +b110000 f +1$ +#4776 +0$ +#4777 +b110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4778 +0$ +#4779 +b0 R +0% +0M +b11101111000 D +b110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4780 +0$ +#4781 +b110011 f +b1 R +b11101111100 E +b11101111000 - +b11101111000 5 +b11101111000 G +b11101111000 U +1$ +#4782 +0$ +#4783 +b10 R +b110100 f +1$ +#4784 +0$ +#4785 +b110101 f +b11 R +1$ +#4786 +0$ +#4787 +b100 R +b110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4788 +0$ +#4789 +b110111 f +b0 R +0% +0M +b11101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4790 +0$ +#4791 +b1 R +b11110000000 E +b11101111100 - +b11101111100 5 +b11101111100 G +b11101111100 U +b111000 f +1$ +#4792 +0$ +#4793 +b111001 f +b10 R +1$ +#4794 +0$ +#4795 +b11 R +b111010 f +1$ +#4796 +0$ +#4797 +b111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4798 +0$ +#4799 +b0 R +0% +0M +b11110000000 D +b111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4800 +0$ +#4801 +b111101 f +b1 R +b11110000100 E +b11110000000 - +b11110000000 5 +b11110000000 G +b11110000000 U +1$ +#4802 +0$ +#4803 +b10 R +b111110 f +1$ +#4804 +0$ +#4805 +b111111 f +b11 R +1$ +#4806 +0$ +#4807 +b100 R +b1000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4808 +0$ +#4809 +b1000001 f +b0 R +0% +0M +b11110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4810 +0$ +#4811 +b1 R +b11110001000 E +b11110000100 - +b11110000100 5 +b11110000100 G +b11110000100 U +b1000010 f +1$ +#4812 +0$ +#4813 +b1000011 f +b10 R +1$ +#4814 +0$ +#4815 +b11 R +b1000100 f +1$ +#4816 +0$ +#4817 +b1000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4818 +0$ +#4819 +b0 R +0% +0M +b11110001000 D +b1000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4820 +0$ +#4821 +b1000111 f +b1 R +b11110001100 E +b11110001000 - +b11110001000 5 +b11110001000 G +b11110001000 U +1$ +#4822 +0$ +#4823 +b10 R +b1001000 f +1$ +#4824 +0$ +#4825 +b1001001 f +b11 R +1$ +#4826 +0$ +#4827 +b100 R +b1001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4828 +0$ +#4829 +b1001011 f +b0 R +0% +0M +b11110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4830 +0$ +#4831 +b1 R +b11110010000 E +b11110001100 - +b11110001100 5 +b11110001100 G +b11110001100 U +b1001100 f +1$ +#4832 +0$ +#4833 +b1001101 f +b10 R +1$ +#4834 +0$ +#4835 +b11 R +b1001110 f +1$ +#4836 +0$ +#4837 +b1001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4838 +0$ +#4839 +b0 R +0% +0M +b11110010000 D +b1010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4840 +0$ +#4841 +b1010001 f +b1 R +b11110010100 E +b11110010000 - +b11110010000 5 +b11110010000 G +b11110010000 U +1$ +#4842 +0$ +#4843 +b10 R +b1010010 f +1$ +#4844 +0$ +#4845 +b1010011 f +b11 R +1$ +#4846 +0$ +#4847 +b100 R +b1010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4848 +0$ +#4849 +b1010101 f +b0 R +0% +0M +b11110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4850 +0$ +#4851 +b1 R +b11110011000 E +b11110010100 - +b11110010100 5 +b11110010100 G +b11110010100 U +b1010110 f +1$ +#4852 +0$ +#4853 +b1010111 f +b10 R +1$ +#4854 +0$ +#4855 +b11 R +b1011000 f +1$ +#4856 +0$ +#4857 +b1011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4858 +0$ +#4859 +b0 R +0% +0M +b11110011000 D +b1011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4860 +0$ +#4861 +b1011011 f +b1 R +b11110011100 E +b11110011000 - +b11110011000 5 +b11110011000 G +b11110011000 U +1$ +#4862 +0$ +#4863 +b10 R +b1011100 f +1$ +#4864 +0$ +#4865 +b1011101 f +b11 R +1$ +#4866 +0$ +#4867 +b100 R +b1011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4868 +0$ +#4869 +b1011111 f +b0 R +0% +0M +b11110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4870 +0$ +#4871 +b1 R +b11110100000 E +b11110011100 - +b11110011100 5 +b11110011100 G +b11110011100 U +b1100000 f +1$ +#4872 +0$ +#4873 +b1100001 f +b10 R +1$ +#4874 +0$ +#4875 +b11 R +b1100010 f +1$ +#4876 +0$ +#4877 +b1100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4878 +0$ +#4879 +b0 R +0% +0M +b11110100000 D +b1100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4880 +0$ +#4881 +b1100101 f +b1 R +b11110100100 E +b11110100000 - +b11110100000 5 +b11110100000 G +b11110100000 U +1$ +#4882 +0$ +#4883 +b10 R +b1100110 f +1$ +#4884 +0$ +#4885 +b1100111 f +b11 R +1$ +#4886 +0$ +#4887 +b100 R +b1101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4888 +0$ +#4889 +b1101001 f +b0 R +0% +0M +b11110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4890 +0$ +#4891 +b1 R +b11110101000 E +b11110100100 - +b11110100100 5 +b11110100100 G +b11110100100 U +b1101010 f +1$ +#4892 +0$ +#4893 +b1101011 f +b10 R +1$ +#4894 +0$ +#4895 +b11 R +b1101100 f +1$ +#4896 +0$ +#4897 +b1101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4898 +0$ +#4899 +b0 R +0% +0M +b11110101000 D +b1101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4900 +0$ +#4901 +b1101111 f +b1 R +b11110101100 E +b11110101000 - +b11110101000 5 +b11110101000 G +b11110101000 U +1$ +#4902 +0$ +#4903 +b10 R +b1110000 f +1$ +#4904 +0$ +#4905 +b1110001 f +b11 R +1$ +#4906 +0$ +#4907 +b100 R +b1110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4908 +0$ +#4909 +b1110011 f +b0 R +0% +0M +b11110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4910 +0$ +#4911 +b1 R +b11110110000 E +b11110101100 - +b11110101100 5 +b11110101100 G +b11110101100 U +b1110100 f +1$ +#4912 +0$ +#4913 +b1110101 f +b10 R +1$ +#4914 +0$ +#4915 +b11 R +b1110110 f +1$ +#4916 +0$ +#4917 +b1110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4918 +0$ +#4919 +b0 R +0% +0M +b11110110000 D +b1111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4920 +0$ +#4921 +b1111001 f +b1 R +b11110110100 E +b11110110000 - +b11110110000 5 +b11110110000 G +b11110110000 U +1$ +#4922 +0$ +#4923 +b10 R +b1111010 f +1$ +#4924 +0$ +#4925 +b1111011 f +b11 R +1$ +#4926 +0$ +#4927 +b100 R +b1111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4928 +0$ +#4929 +b1111101 f +b0 R +0% +0M +b11110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4930 +0$ +#4931 +b1 R +b11110111000 E +b11110110100 - +b11110110100 5 +b11110110100 G +b11110110100 U +b1111110 f +1$ +#4932 +0$ +#4933 +b1111111 f +b10 R +1$ +#4934 +0$ +#4935 +b11 R +b10000000 f +1$ +#4936 +0$ +#4937 +b10000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4938 +0$ +#4939 +b0 R +0% +0M +b11110111000 D +b10000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4940 +0$ +#4941 +b10000011 f +b1 R +b11110111100 E +b11110111000 - +b11110111000 5 +b11110111000 G +b11110111000 U +1$ +#4942 +0$ +#4943 +b10 R +b10000100 f +1$ +#4944 +0$ +#4945 +b10000101 f +b11 R +1$ +#4946 +0$ +#4947 +b100 R +b10000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4948 +0$ +#4949 +b10000111 f +b0 R +0% +0M +b11110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4950 +0$ +#4951 +b1 R +b11111000000 E +b11110111100 - +b11110111100 5 +b11110111100 G +b11110111100 U +b10001000 f +1$ +#4952 +0$ +#4953 +b10001001 f +b10 R +1$ +#4954 +0$ +#4955 +b11 R +b10001010 f +1$ +#4956 +0$ +#4957 +b10001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4958 +0$ +#4959 +b0 R +0% +0M +b11111000000 D +b10001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4960 +0$ +#4961 +b10001101 f +b1 R +b11111000100 E +b11111000000 - +b11111000000 5 +b11111000000 G +b11111000000 U +1$ +#4962 +0$ +#4963 +b10 R +b10001110 f +1$ +#4964 +0$ +#4965 +b10001111 f +b11 R +1$ +#4966 +0$ +#4967 +b100 R +b10010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4968 +0$ +#4969 +b10010001 f +b0 R +0% +0M +b11111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4970 +0$ +#4971 +b1 R +b11111001000 E +b11111000100 - +b11111000100 5 +b11111000100 G +b11111000100 U +b10010010 f +1$ +#4972 +0$ +#4973 +b10010011 f +b10 R +1$ +#4974 +0$ +#4975 +b11 R +b10010100 f +1$ +#4976 +0$ +#4977 +b10010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4978 +0$ +#4979 +b0 R +0% +0M +b11111001000 D +b10010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4980 +0$ +#4981 +b10010111 f +b1 R +b11111001100 E +b11111001000 - +b11111001000 5 +b11111001000 G +b11111001000 U +1$ +#4982 +0$ +#4983 +b10 R +b10011000 f +1$ +#4984 +0$ +#4985 +b10011001 f +b11 R +1$ +#4986 +0$ +#4987 +b100 R +b10011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4988 +0$ +#4989 +b10011011 f +b0 R +0% +0M +b11111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#4990 +0$ +#4991 +b1 R +b11111010000 E +b11111001100 - +b11111001100 5 +b11111001100 G +b11111001100 U +b10011100 f +1$ +#4992 +0$ +#4993 +b10011101 f +b10 R +1$ +#4994 +0$ +#4995 +b11 R +b10011110 f +1$ +#4996 +0$ +#4997 +b10011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#4998 +0$ +#4999 +b0 R +0% +0M +b11111010000 D +b10100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5000 +0$ +#5001 +b10100001 f +b1 R +b11111010100 E +b11111010000 - +b11111010000 5 +b11111010000 G +b11111010000 U +1$ +#5002 +0$ +#5003 +b10 R +b10100010 f +1$ +#5004 +0$ +#5005 +b10100011 f +b11 R +1$ +#5006 +0$ +#5007 +b100 R +b10100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5008 +0$ +#5009 +b10100101 f +b0 R +0% +0M +b11111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5010 +0$ +#5011 +b1 R +b11111011000 E +b11111010100 - +b11111010100 5 +b11111010100 G +b11111010100 U +b10100110 f +1$ +#5012 +0$ +#5013 +b10100111 f +b10 R +1$ +#5014 +0$ +#5015 +b11 R +b10101000 f +1$ +#5016 +0$ +#5017 +b10101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5018 +0$ +#5019 +b0 R +0% +0M +b11111011000 D +b10101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5020 +0$ +#5021 +b10101011 f +b1 R +b11111011100 E +b11111011000 - +b11111011000 5 +b11111011000 G +b11111011000 U +1$ +#5022 +0$ +#5023 +b10 R +b10101100 f +1$ +#5024 +0$ +#5025 +b10101101 f +b11 R +1$ +#5026 +0$ +#5027 +b100 R +b10101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5028 +0$ +#5029 +b10101111 f +b0 R +0% +0M +b11111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5030 +0$ +#5031 +b1 R +b11111100000 E +b11111011100 - +b11111011100 5 +b11111011100 G +b11111011100 U +b10110000 f +1$ +#5032 +0$ +#5033 +b10110001 f +b10 R +1$ +#5034 +0$ +#5035 +b11 R +b10110010 f +1$ +#5036 +0$ +#5037 +b10110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5038 +0$ +#5039 +b0 R +0% +0M +b11111100000 D +b10110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5040 +0$ +#5041 +b10110101 f +b1 R +b11111100100 E +b11111100000 - +b11111100000 5 +b11111100000 G +b11111100000 U +1$ +#5042 +0$ +#5043 +b10 R +b10110110 f +1$ +#5044 +0$ +#5045 +b10110111 f +b11 R +1$ +#5046 +0$ +#5047 +b100 R +b10111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5048 +0$ +#5049 +b10111001 f +b0 R +0% +0M +b11111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5050 +0$ +#5051 +b1 R +b11111101000 E +b11111100100 - +b11111100100 5 +b11111100100 G +b11111100100 U +b10111010 f +1$ +#5052 +0$ +#5053 +b10111011 f +b10 R +1$ +#5054 +0$ +#5055 +b11 R +b10111100 f +1$ +#5056 +0$ +#5057 +b10111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5058 +0$ +#5059 +b0 R +0% +0M +b11111101000 D +b10111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5060 +0$ +#5061 +b10111111 f +b1 R +b11111101100 E +b11111101000 - +b11111101000 5 +b11111101000 G +b11111101000 U +1$ +#5062 +0$ +#5063 +b10 R +b11000000 f +1$ +#5064 +0$ +#5065 +b11000001 f +b11 R +1$ +#5066 +0$ +#5067 +b100 R +b11000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5068 +0$ +#5069 +b11000011 f +b0 R +0% +0M +b11111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5070 +0$ +#5071 +b1 R +b11111110000 E +b11111101100 - +b11111101100 5 +b11111101100 G +b11111101100 U +b11000100 f +1$ +#5072 +0$ +#5073 +b11000101 f +b10 R +1$ +#5074 +0$ +#5075 +b11 R +b11000110 f +1$ +#5076 +0$ +#5077 +b11000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5078 +0$ +#5079 +b0 R +0% +0M +b11111110000 D +b11001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5080 +0$ +#5081 +b11001001 f +b1 R +b11111110100 E +b11111110000 - +b11111110000 5 +b11111110000 G +b11111110000 U +1$ +#5082 +0$ +#5083 +b10 R +b11001010 f +1$ +#5084 +0$ +#5085 +b11001011 f +b11 R +1$ +#5086 +0$ +#5087 +b100 R +b11001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5088 +0$ +#5089 +b11001101 f +b0 R +0% +0M +b11111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5090 +0$ +#5091 +b1 R +b11111111000 E +b11111110100 - +b11111110100 5 +b11111110100 G +b11111110100 U +b11001110 f +1$ +#5092 +0$ +#5093 +b11001111 f +b10 R +1$ +#5094 +0$ +#5095 +b11 R +b11010000 f +1$ +#5096 +0$ +#5097 +b11010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5098 +0$ +#5099 +b0 R +0% +0M +b11111111000 D +b11010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5100 +0$ +#5101 +b11010011 f +b1 R +b11111111100 E +b11111111000 - +b11111111000 5 +b11111111000 G +b11111111000 U +1$ +#5102 +0$ +#5103 +b10 R +b11010100 f +1$ +#5104 +0$ +#5105 +b11010101 f +b11 R +1$ +#5106 +0$ +#5107 +b100 R +b11010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5108 +0$ +#5109 +b11010111 f +b0 R +0% +0M +b11111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5110 +0$ +#5111 +b1 R +b100000000000 E +b11111111100 - +b11111111100 5 +b11111111100 G +b11111111100 U +b11011000 f +1$ +#5112 +0$ +#5113 +b11011001 f +b10 R +1$ +#5114 +0$ +#5115 +b11 R +b11011010 f +1$ +#5116 +0$ +#5117 +b11011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5118 +0$ +#5119 +b0 R +0% +0M +b100000000000 D +b11011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5120 +0$ +#5121 +b11011101 f +b1 R +b100000000100 E +b100000000000 - +b100000000000 5 +b100000000000 G +b100000000000 U +1$ +#5122 +0$ +#5123 +b10 R +b11011110 f +1$ +#5124 +0$ +#5125 +b11011111 f +b11 R +1$ +#5126 +0$ +#5127 +b100 R +b11100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5128 +0$ +#5129 +b11100001 f +b0 R +0% +0M +b100000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5130 +0$ +#5131 +b1 R +b100000001000 E +b100000000100 - +b100000000100 5 +b100000000100 G +b100000000100 U +b11100010 f +1$ +#5132 +0$ +#5133 +b11100011 f +b10 R +1$ +#5134 +0$ +#5135 +b11 R +b11100100 f +1$ +#5136 +0$ +#5137 +b11100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5138 +0$ +#5139 +b0 R +0% +0M +b100000001000 D +b11100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5140 +0$ +#5141 +b11100111 f +b1 R +b100000001100 E +b100000001000 - +b100000001000 5 +b100000001000 G +b100000001000 U +1$ +#5142 +0$ +#5143 +b10 R +b11101000 f +1$ +#5144 +0$ +#5145 +b11101001 f +b11 R +1$ +#5146 +0$ +#5147 +b100 R +1] +b0 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5148 +0$ +#5149 +0] +b1 f +b100 l +b0 R +0% +0M +b100000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5150 +0$ +#5151 +b1 R +b100000010000 E +b100000001100 - +b100000001100 5 +b100000001100 G +b100000001100 U +b10 f +1$ +#5152 +0$ +#5153 +b11 f +b10 R +1$ +#5154 +0$ +#5155 +b11 R +b100 f +1$ +#5156 +0$ +#5157 +b101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5158 +0$ +#5159 +b0 R +0% +0M +b100000010000 D +b110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5160 +0$ +#5161 +b111 f +b1 R +b100000010100 E +b100000010000 - +b100000010000 5 +b100000010000 G +b100000010000 U +1$ +#5162 +0$ +#5163 +b10 R +b1000 f +1$ +#5164 +0$ +#5165 +b1001 f +b11 R +1$ +#5166 +0$ +#5167 +b100 R +b1010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5168 +0$ +#5169 +b1011 f +b0 R +0% +0M +b100000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5170 +0$ +#5171 +b1 R +b100000011000 E +b100000010100 - +b100000010100 5 +b100000010100 G +b100000010100 U +b1100 f +1$ +#5172 +0$ +#5173 +b1101 f +b10 R +1$ +#5174 +0$ +#5175 +b11 R +b1110 f +1$ +#5176 +0$ +#5177 +b1111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5178 +0$ +#5179 +b0 R +0% +0M +b100000011000 D +b10000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5180 +0$ +#5181 +b10001 f +b1 R +b100000011100 E +b100000011000 - +b100000011000 5 +b100000011000 G +b100000011000 U +1$ +#5182 +0$ +#5183 +b10 R +b10010 f +1$ +#5184 +0$ +#5185 +b10011 f +b11 R +1$ +#5186 +0$ +#5187 +b100 R +b10100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5188 +0$ +#5189 +b10101 f +b0 R +0% +0M +b100000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5190 +0$ +#5191 +b1 R +b100000100000 E +b100000011100 - +b100000011100 5 +b100000011100 G +b100000011100 U +b10110 f +1$ +#5192 +0$ +#5193 +b10111 f +b10 R +1$ +#5194 +0$ +#5195 +b11 R +b11000 f +1$ +#5196 +0$ +#5197 +b11001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5198 +0$ +#5199 +b0 R +0% +0M +b100000100000 D +b11010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5200 +0$ +#5201 +b11011 f +b1 R +b100000100100 E +b100000100000 - +b100000100000 5 +b100000100000 G +b100000100000 U +1$ +#5202 +0$ +#5203 +b10 R +b11100 f +1$ +#5204 +0$ +#5205 +b11101 f +b11 R +1$ +#5206 +0$ +#5207 +b100 R +b11110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5208 +0$ +#5209 +b11111 f +b0 R +0% +0M +b100000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5210 +0$ +#5211 +b1 R +b100000101000 E +b100000100100 - +b100000100100 5 +b100000100100 G +b100000100100 U +b100000 f +1$ +#5212 +0$ +#5213 +b100001 f +b10 R +1$ +#5214 +0$ +#5215 +b11 R +b100010 f +1$ +#5216 +0$ +#5217 +b100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5218 +0$ +#5219 +b0 R +0% +0M +b100000101000 D +b100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5220 +0$ +#5221 +b100101 f +b1 R +b100000101100 E +b100000101000 - +b100000101000 5 +b100000101000 G +b100000101000 U +1$ +#5222 +0$ +#5223 +b10 R +b100110 f +1$ +#5224 +0$ +#5225 +b100111 f +b11 R +1$ +#5226 +0$ +#5227 +b100 R +b101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5228 +0$ +#5229 +b101001 f +b0 R +0% +0M +b100000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5230 +0$ +#5231 +b1 R +b100000110000 E +b100000101100 - +b100000101100 5 +b100000101100 G +b100000101100 U +b101010 f +1$ +#5232 +0$ +#5233 +b101011 f +b10 R +1$ +#5234 +0$ +#5235 +b11 R +b101100 f +1$ +#5236 +0$ +#5237 +b101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5238 +0$ +#5239 +b0 R +0% +0M +b100000110000 D +b101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5240 +0$ +#5241 +b101111 f +b1 R +b100000110100 E +b100000110000 - +b100000110000 5 +b100000110000 G +b100000110000 U +1$ +#5242 +0$ +#5243 +b10 R +b110000 f +1$ +#5244 +0$ +#5245 +b110001 f +b11 R +1$ +#5246 +0$ +#5247 +b100 R +b110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5248 +0$ +#5249 +b110011 f +b0 R +0% +0M +b100000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5250 +0$ +#5251 +b1 R +b100000111000 E +b100000110100 - +b100000110100 5 +b100000110100 G +b100000110100 U +b110100 f +1$ +#5252 +0$ +#5253 +b110101 f +b10 R +1$ +#5254 +0$ +#5255 +b11 R +b110110 f +1$ +#5256 +0$ +#5257 +b110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5258 +0$ +#5259 +b0 R +0% +0M +b100000111000 D +b111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5260 +0$ +#5261 +b111001 f +b1 R +b100000111100 E +b100000111000 - +b100000111000 5 +b100000111000 G +b100000111000 U +1$ +#5262 +0$ +#5263 +b10 R +b111010 f +1$ +#5264 +0$ +#5265 +b111011 f +b11 R +1$ +#5266 +0$ +#5267 +b100 R +b111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5268 +0$ +#5269 +b111101 f +b0 R +0% +0M +b100000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5270 +0$ +#5271 +b1 R +b100001000000 E +b100000111100 - +b100000111100 5 +b100000111100 G +b100000111100 U +b111110 f +1$ +#5272 +0$ +#5273 +b111111 f +b10 R +1$ +#5274 +0$ +#5275 +b11 R +b1000000 f +1$ +#5276 +0$ +#5277 +b1000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5278 +0$ +#5279 +b0 R +0% +0M +b100001000000 D +b1000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5280 +0$ +#5281 +b1000011 f +b1 R +b100001000100 E +b100001000000 - +b100001000000 5 +b100001000000 G +b100001000000 U +1$ +#5282 +0$ +#5283 +b10 R +b1000100 f +1$ +#5284 +0$ +#5285 +b1000101 f +b11 R +1$ +#5286 +0$ +#5287 +b100 R +b1000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5288 +0$ +#5289 +b1000111 f +b0 R +0% +0M +b100001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5290 +0$ +#5291 +b1 R +b100001001000 E +b100001000100 - +b100001000100 5 +b100001000100 G +b100001000100 U +b1001000 f +1$ +#5292 +0$ +#5293 +b1001001 f +b10 R +1$ +#5294 +0$ +#5295 +b11 R +b1001010 f +1$ +#5296 +0$ +#5297 +b1001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5298 +0$ +#5299 +b0 R +0% +0M +b100001001000 D +b1001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5300 +0$ +#5301 +b1001101 f +b1 R +b100001001100 E +b100001001000 - +b100001001000 5 +b100001001000 G +b100001001000 U +1$ +#5302 +0$ +#5303 +b10 R +b1001110 f +1$ +#5304 +0$ +#5305 +b1001111 f +b11 R +1$ +#5306 +0$ +#5307 +b100 R +b1010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5308 +0$ +#5309 +b1010001 f +b0 R +0% +0M +b100001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5310 +0$ +#5311 +b1 R +b100001010000 E +b100001001100 - +b100001001100 5 +b100001001100 G +b100001001100 U +b1010010 f +1$ +#5312 +0$ +#5313 +b1010011 f +b10 R +1$ +#5314 +0$ +#5315 +b11 R +b1010100 f +1$ +#5316 +0$ +#5317 +b1010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5318 +0$ +#5319 +b0 R +0% +0M +b100001010000 D +b1010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5320 +0$ +#5321 +b1010111 f +b1 R +b100001010100 E +b100001010000 - +b100001010000 5 +b100001010000 G +b100001010000 U +1$ +#5322 +0$ +#5323 +b10 R +b1011000 f +1$ +#5324 +0$ +#5325 +b1011001 f +b11 R +1$ +#5326 +0$ +#5327 +b100 R +b1011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5328 +0$ +#5329 +b1011011 f +b0 R +0% +0M +b100001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5330 +0$ +#5331 +b1 R +b100001011000 E +b100001010100 - +b100001010100 5 +b100001010100 G +b100001010100 U +b1011100 f +1$ +#5332 +0$ +#5333 +b1011101 f +b10 R +1$ +#5334 +0$ +#5335 +b11 R +b1011110 f +1$ +#5336 +0$ +#5337 +b1011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5338 +0$ +#5339 +b0 R +0% +0M +b100001011000 D +b1100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5340 +0$ +#5341 +b1100001 f +b1 R +b100001011100 E +b100001011000 - +b100001011000 5 +b100001011000 G +b100001011000 U +1$ +#5342 +0$ +#5343 +b10 R +b1100010 f +1$ +#5344 +0$ +#5345 +b1100011 f +b11 R +1$ +#5346 +0$ +#5347 +b100 R +b1100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5348 +0$ +#5349 +b1100101 f +b0 R +0% +0M +b100001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5350 +0$ +#5351 +b1 R +b100001100000 E +b100001011100 - +b100001011100 5 +b100001011100 G +b100001011100 U +b1100110 f +1$ +#5352 +0$ +#5353 +b1100111 f +b10 R +1$ +#5354 +0$ +#5355 +b11 R +b1101000 f +1$ +#5356 +0$ +#5357 +b1101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5358 +0$ +#5359 +b0 R +0% +0M +b100001100000 D +b1101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5360 +0$ +#5361 +b1101011 f +b1 R +b100001100100 E +b100001100000 - +b100001100000 5 +b100001100000 G +b100001100000 U +1$ +#5362 +0$ +#5363 +b10 R +b1101100 f +1$ +#5364 +0$ +#5365 +b1101101 f +b11 R +1$ +#5366 +0$ +#5367 +b100 R +b1101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5368 +0$ +#5369 +b1101111 f +b0 R +0% +0M +b100001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5370 +0$ +#5371 +b1 R +b100001101000 E +b100001100100 - +b100001100100 5 +b100001100100 G +b100001100100 U +b1110000 f +1$ +#5372 +0$ +#5373 +b1110001 f +b10 R +1$ +#5374 +0$ +#5375 +b11 R +b1110010 f +1$ +#5376 +0$ +#5377 +b1110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5378 +0$ +#5379 +b0 R +0% +0M +b100001101000 D +b1110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5380 +0$ +#5381 +b1110101 f +b1 R +b100001101100 E +b100001101000 - +b100001101000 5 +b100001101000 G +b100001101000 U +1$ +#5382 +0$ +#5383 +b10 R +b1110110 f +1$ +#5384 +0$ +#5385 +b1110111 f +b11 R +1$ +#5386 +0$ +#5387 +b100 R +b1111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5388 +0$ +#5389 +b1111001 f +b0 R +0% +0M +b100001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5390 +0$ +#5391 +b1 R +b100001110000 E +b100001101100 - +b100001101100 5 +b100001101100 G +b100001101100 U +b1111010 f +1$ +#5392 +0$ +#5393 +b1111011 f +b10 R +1$ +#5394 +0$ +#5395 +b11 R +b1111100 f +1$ +#5396 +0$ +#5397 +b1111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5398 +0$ +#5399 +b0 R +0% +0M +b100001110000 D +b1111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5400 +0$ +#5401 +b1111111 f +b1 R +b100001110100 E +b100001110000 - +b100001110000 5 +b100001110000 G +b100001110000 U +1$ +#5402 +0$ +#5403 +b10 R +b10000000 f +1$ +#5404 +0$ +#5405 +b10000001 f +b11 R +1$ +#5406 +0$ +#5407 +b100 R +b10000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5408 +0$ +#5409 +b10000011 f +b0 R +0% +0M +b100001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5410 +0$ +#5411 +b1 R +b100001111000 E +b100001110100 - +b100001110100 5 +b100001110100 G +b100001110100 U +b10000100 f +1$ +#5412 +0$ +#5413 +b10000101 f +b10 R +1$ +#5414 +0$ +#5415 +b11 R +b10000110 f +1$ +#5416 +0$ +#5417 +b10000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5418 +0$ +#5419 +b0 R +0% +0M +b100001111000 D +b10001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5420 +0$ +#5421 +b10001001 f +b1 R +b100001111100 E +b100001111000 - +b100001111000 5 +b100001111000 G +b100001111000 U +1$ +#5422 +0$ +#5423 +b10 R +b10001010 f +1$ +#5424 +0$ +#5425 +b10001011 f +b11 R +1$ +#5426 +0$ +#5427 +b100 R +b10001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5428 +0$ +#5429 +b10001101 f +b0 R +0% +0M +b100001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5430 +0$ +#5431 +b1 R +b100010000000 E +b100001111100 - +b100001111100 5 +b100001111100 G +b100001111100 U +b10001110 f +1$ +#5432 +0$ +#5433 +b10001111 f +b10 R +1$ +#5434 +0$ +#5435 +b11 R +b10010000 f +1$ +#5436 +0$ +#5437 +b10010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5438 +0$ +#5439 +b0 R +0% +0M +b100010000000 D +b10010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5440 +0$ +#5441 +b10010011 f +b1 R +b100010000100 E +b100010000000 - +b100010000000 5 +b100010000000 G +b100010000000 U +1$ +#5442 +0$ +#5443 +b10 R +b10010100 f +1$ +#5444 +0$ +#5445 +b10010101 f +b11 R +1$ +#5446 +0$ +#5447 +b100 R +b10010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5448 +0$ +#5449 +b10010111 f +b0 R +0% +0M +b100010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5450 +0$ +#5451 +b1 R +b100010001000 E +b100010000100 - +b100010000100 5 +b100010000100 G +b100010000100 U +b10011000 f +1$ +#5452 +0$ +#5453 +b10011001 f +b10 R +1$ +#5454 +0$ +#5455 +b11 R +b10011010 f +1$ +#5456 +0$ +#5457 +b10011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5458 +0$ +#5459 +b0 R +0% +0M +b100010001000 D +b10011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5460 +0$ +#5461 +b10011101 f +b1 R +b100010001100 E +b100010001000 - +b100010001000 5 +b100010001000 G +b100010001000 U +1$ +#5462 +0$ +#5463 +b10 R +b10011110 f +1$ +#5464 +0$ +#5465 +b10011111 f +b11 R +1$ +#5466 +0$ +#5467 +b100 R +b10100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5468 +0$ +#5469 +b10100001 f +b0 R +0% +0M +b100010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5470 +0$ +#5471 +b1 R +b100010010000 E +b100010001100 - +b100010001100 5 +b100010001100 G +b100010001100 U +b10100010 f +1$ +#5472 +0$ +#5473 +b10100011 f +b10 R +1$ +#5474 +0$ +#5475 +b11 R +b10100100 f +1$ +#5476 +0$ +#5477 +b10100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5478 +0$ +#5479 +b0 R +0% +0M +b100010010000 D +b10100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5480 +0$ +#5481 +b10100111 f +b1 R +b100010010100 E +b100010010000 - +b100010010000 5 +b100010010000 G +b100010010000 U +1$ +#5482 +0$ +#5483 +b10 R +b10101000 f +1$ +#5484 +0$ +#5485 +b10101001 f +b11 R +1$ +#5486 +0$ +#5487 +b100 R +b10101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5488 +0$ +#5489 +b10101011 f +b0 R +0% +0M +b100010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5490 +0$ +#5491 +b1 R +b100010011000 E +b100010010100 - +b100010010100 5 +b100010010100 G +b100010010100 U +b10101100 f +1$ +#5492 +0$ +#5493 +b10101101 f +b10 R +1$ +#5494 +0$ +#5495 +b11 R +b10101110 f +1$ +#5496 +0$ +#5497 +b10101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5498 +0$ +#5499 +b0 R +0% +0M +b100010011000 D +b10110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5500 +0$ +#5501 +b10110001 f +b1 R +b100010011100 E +b100010011000 - +b100010011000 5 +b100010011000 G +b100010011000 U +1$ +#5502 +0$ +#5503 +b10 R +b10110010 f +1$ +#5504 +0$ +#5505 +b10110011 f +b11 R +1$ +#5506 +0$ +#5507 +b100 R +b10110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5508 +0$ +#5509 +b10110101 f +b0 R +0% +0M +b100010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5510 +0$ +#5511 +b1 R +b100010100000 E +b100010011100 - +b100010011100 5 +b100010011100 G +b100010011100 U +b10110110 f +1$ +#5512 +0$ +#5513 +b10110111 f +b10 R +1$ +#5514 +0$ +#5515 +b11 R +b10111000 f +1$ +#5516 +0$ +#5517 +b10111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5518 +0$ +#5519 +b0 R +0% +0M +b100010100000 D +b10111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5520 +0$ +#5521 +b10111011 f +b1 R +b100010100100 E +b100010100000 - +b100010100000 5 +b100010100000 G +b100010100000 U +1$ +#5522 +0$ +#5523 +b10 R +b10111100 f +1$ +#5524 +0$ +#5525 +b10111101 f +b11 R +1$ +#5526 +0$ +#5527 +b100 R +b10111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5528 +0$ +#5529 +b10111111 f +b0 R +0% +0M +b100010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5530 +0$ +#5531 +b1 R +b100010101000 E +b100010100100 - +b100010100100 5 +b100010100100 G +b100010100100 U +b11000000 f +1$ +#5532 +0$ +#5533 +b11000001 f +b10 R +1$ +#5534 +0$ +#5535 +b11 R +b11000010 f +1$ +#5536 +0$ +#5537 +b11000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5538 +0$ +#5539 +b0 R +0% +0M +b100010101000 D +b11000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5540 +0$ +#5541 +b11000101 f +b1 R +b100010101100 E +b100010101000 - +b100010101000 5 +b100010101000 G +b100010101000 U +1$ +#5542 +0$ +#5543 +b10 R +b11000110 f +1$ +#5544 +0$ +#5545 +b11000111 f +b11 R +1$ +#5546 +0$ +#5547 +b100 R +b11001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5548 +0$ +#5549 +b11001001 f +b0 R +0% +0M +b100010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5550 +0$ +#5551 +b1 R +b100010110000 E +b100010101100 - +b100010101100 5 +b100010101100 G +b100010101100 U +b11001010 f +1$ +#5552 +0$ +#5553 +b11001011 f +b10 R +1$ +#5554 +0$ +#5555 +b11 R +b11001100 f +1$ +#5556 +0$ +#5557 +b11001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5558 +0$ +#5559 +b0 R +0% +0M +b100010110000 D +b11001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5560 +0$ +#5561 +b11001111 f +b1 R +b100010110100 E +b100010110000 - +b100010110000 5 +b100010110000 G +b100010110000 U +1$ +#5562 +0$ +#5563 +b10 R +b11010000 f +1$ +#5564 +0$ +#5565 +b11010001 f +b11 R +1$ +#5566 +0$ +#5567 +b100 R +b11010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5568 +0$ +#5569 +b11010011 f +b0 R +0% +0M +b100010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5570 +0$ +#5571 +b1 R +b100010111000 E +b100010110100 - +b100010110100 5 +b100010110100 G +b100010110100 U +b11010100 f +1$ +#5572 +0$ +#5573 +b11010101 f +b10 R +1$ +#5574 +0$ +#5575 +b11 R +b11010110 f +1$ +#5576 +0$ +#5577 +b11010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5578 +0$ +#5579 +b0 R +0% +0M +b100010111000 D +b11011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5580 +0$ +#5581 +b11011001 f +b1 R +b100010111100 E +b100010111000 - +b100010111000 5 +b100010111000 G +b100010111000 U +1$ +#5582 +0$ +#5583 +b10 R +b11011010 f +1$ +#5584 +0$ +#5585 +b11011011 f +b11 R +1$ +#5586 +0$ +#5587 +b100 R +b11011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5588 +0$ +#5589 +b11011101 f +b0 R +0% +0M +b100010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5590 +0$ +#5591 +b1 R +b100011000000 E +b100010111100 - +b100010111100 5 +b100010111100 G +b100010111100 U +b11011110 f +1$ +#5592 +0$ +#5593 +b11011111 f +b10 R +1$ +#5594 +0$ +#5595 +b11 R +b11100000 f +1$ +#5596 +0$ +#5597 +b11100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5598 +0$ +#5599 +b0 R +0% +0M +b100011000000 D +b11100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5600 +0$ +#5601 +b11100011 f +b1 R +b100011000100 E +b100011000000 - +b100011000000 5 +b100011000000 G +b100011000000 U +1$ +#5602 +0$ +#5603 +b10 R +b11100100 f +1$ +#5604 +0$ +#5605 +b11100101 f +b11 R +1$ +#5606 +0$ +#5607 +b100 R +b11100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5608 +0$ +#5609 +b11100111 f +b0 R +0% +0M +b100011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5610 +0$ +#5611 +b1 R +b100011001000 E +b100011000100 - +b100011000100 5 +b100011000100 G +b100011000100 U +b11101000 f +1$ +#5612 +0$ +#5613 +b11101001 f +b10 R +1$ +#5614 +0$ +#5615 +b11 R +1] +b0 f +1$ +#5616 +0$ +#5617 +0] +b1 f +0) +b0 l +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5618 +0$ +#5619 +b0 R +0% +0M +b100011001000 D +b10 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5620 +0$ +#5621 +b11 f +b1 R +b100011001100 E +b100011001000 - +b100011001000 5 +b100011001000 G +b100011001000 U +1$ +#5622 +0$ +#5623 +b10 R +b100 f +1$ +#5624 +0$ +#5625 +b101 f +b11 R +1$ +#5626 +0$ +#5627 +b100 R +b110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5628 +0$ +#5629 +b111 f +b0 R +0% +0M +b100011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5630 +0$ +#5631 +b1 R +b100011010000 E +b100011001100 - +b100011001100 5 +b100011001100 G +b100011001100 U +b1000 f +1$ +#5632 +0$ +#5633 +b1001 f +b10 R +1$ +#5634 +0$ +#5635 +b11 R +b1010 f +1$ +#5636 +0$ +#5637 +b1011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5638 +0$ +#5639 +b0 R +0% +0M +b100011010000 D +b1100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5640 +0$ +#5641 +b1101 f +b1 R +b100011010100 E +b100011010000 - +b100011010000 5 +b100011010000 G +b100011010000 U +1$ +#5642 +0$ +#5643 +b10 R +b1110 f +1$ +#5644 +0$ +#5645 +b1111 f +b11 R +1$ +#5646 +0$ +#5647 +b100 R +b10000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5648 +0$ +#5649 +b10001 f +b0 R +0% +0M +b100011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5650 +0$ +#5651 +b1 R +b100011011000 E +b100011010100 - +b100011010100 5 +b100011010100 G +b100011010100 U +b10010 f +1$ +#5652 +0$ +#5653 +b10011 f +b10 R +1$ +#5654 +0$ +#5655 +b11 R +b10100 f +1$ +#5656 +0$ +#5657 +b10101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5658 +0$ +#5659 +b0 R +0% +0M +b100011011000 D +b10110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5660 +0$ +#5661 +b10111 f +b1 R +b100011011100 E +b100011011000 - +b100011011000 5 +b100011011000 G +b100011011000 U +1$ +#5662 +0$ +#5663 +b10 R +b11000 f +1$ +#5664 +0$ +#5665 +b11001 f +b11 R +1$ +#5666 +0$ +#5667 +b100 R +b11010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5668 +0$ +#5669 +b11011 f +b0 R +0% +0M +b100011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5670 +0$ +#5671 +b1 R +b100011100000 E +b100011011100 - +b100011011100 5 +b100011011100 G +b100011011100 U +b11100 f +1$ +#5672 +0$ +#5673 +b11101 f +b10 R +1$ +#5674 +0$ +#5675 +b11 R +b11110 f +1$ +#5676 +0$ +#5677 +b11111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5678 +0$ +#5679 +b0 R +0% +0M +b100011100000 D +b100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5680 +0$ +#5681 +b100001 f +b1 R +b100011100100 E +b100011100000 - +b100011100000 5 +b100011100000 G +b100011100000 U +1$ +#5682 +0$ +#5683 +b10 R +b100010 f +1$ +#5684 +0$ +#5685 +b100011 f +b11 R +1$ +#5686 +0$ +#5687 +b100 R +b100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5688 +0$ +#5689 +b100101 f +b0 R +0% +0M +b100011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5690 +0$ +#5691 +b1 R +b100011101000 E +b100011100100 - +b100011100100 5 +b100011100100 G +b100011100100 U +b100110 f +1$ +#5692 +0$ +#5693 +b100111 f +b10 R +1$ +#5694 +0$ +#5695 +b11 R +b101000 f +1$ +#5696 +0$ +#5697 +b101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5698 +0$ +#5699 +b0 R +0% +0M +b100011101000 D +b101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5700 +0$ +#5701 +b101011 f +b1 R +b100011101100 E +b100011101000 - +b100011101000 5 +b100011101000 G +b100011101000 U +1$ +#5702 +0$ +#5703 +b10 R +b101100 f +1$ +#5704 +0$ +#5705 +b101101 f +b11 R +1$ +#5706 +0$ +#5707 +b100 R +b101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5708 +0$ +#5709 +b101111 f +b0 R +0% +0M +b100011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5710 +0$ +#5711 +b1 R +b100011110000 E +b100011101100 - +b100011101100 5 +b100011101100 G +b100011101100 U +b110000 f +1$ +#5712 +0$ +#5713 +b110001 f +b10 R +1$ +#5714 +0$ +#5715 +b11 R +b110010 f +1$ +#5716 +0$ +#5717 +b110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5718 +0$ +#5719 +b0 R +0% +0M +b100011110000 D +b110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5720 +0$ +#5721 +b110101 f +b1 R +b100011110100 E +b100011110000 - +b100011110000 5 +b100011110000 G +b100011110000 U +1$ +#5722 +0$ +#5723 +b10 R +b110110 f +1$ +#5724 +0$ +#5725 +b110111 f +b11 R +1$ +#5726 +0$ +#5727 +b100 R +b111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5728 +0$ +#5729 +b111001 f +b0 R +0% +0M +b100011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5730 +0$ +#5731 +b1 R +b100011111000 E +b100011110100 - +b100011110100 5 +b100011110100 G +b100011110100 U +b111010 f +1$ +#5732 +0$ +#5733 +b111011 f +b10 R +1$ +#5734 +0$ +#5735 +b11 R +b111100 f +1$ +#5736 +0$ +#5737 +b111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5738 +0$ +#5739 +b0 R +0% +0M +b100011111000 D +b111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5740 +0$ +#5741 +b111111 f +b1 R +b100011111100 E +b100011111000 - +b100011111000 5 +b100011111000 G +b100011111000 U +1$ +#5742 +0$ +#5743 +b10 R +b1000000 f +1$ +#5744 +0$ +#5745 +b1000001 f +b11 R +1$ +#5746 +0$ +#5747 +b100 R +b1000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5748 +0$ +#5749 +b1000011 f +b0 R +0% +0M +b100011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5750 +0$ +#5751 +b1 R +b100100000000 E +b100011111100 - +b100011111100 5 +b100011111100 G +b100011111100 U +b1000100 f +1$ +#5752 +0$ +#5753 +b1000101 f +b10 R +1$ +#5754 +0$ +#5755 +b11 R +b1000110 f +1$ +#5756 +0$ +#5757 +b1000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5758 +0$ +#5759 +b0 R +0% +0M +b100100000000 D +b1001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5760 +0$ +#5761 +b1001001 f +b1 R +b100100000100 E +b100100000000 - +b100100000000 5 +b100100000000 G +b100100000000 U +1$ +#5762 +0$ +#5763 +b10 R +b1001010 f +1$ +#5764 +0$ +#5765 +b1001011 f +b11 R +1$ +#5766 +0$ +#5767 +b100 R +b1001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5768 +0$ +#5769 +b1001101 f +b0 R +0% +0M +b100100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5770 +0$ +#5771 +b1 R +b100100001000 E +b100100000100 - +b100100000100 5 +b100100000100 G +b100100000100 U +b1001110 f +1$ +#5772 +0$ +#5773 +b1001111 f +b10 R +1$ +#5774 +0$ +#5775 +b11 R +b1010000 f +1$ +#5776 +0$ +#5777 +b1010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5778 +0$ +#5779 +b0 R +0% +0M +b100100001000 D +b1010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5780 +0$ +#5781 +b1010011 f +b1 R +b100100001100 E +b100100001000 - +b100100001000 5 +b100100001000 G +b100100001000 U +1$ +#5782 +0$ +#5783 +b10 R +b1010100 f +1$ +#5784 +0$ +#5785 +b1010101 f +b11 R +1$ +#5786 +0$ +#5787 +b100 R +b1010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5788 +0$ +#5789 +b1010111 f +b0 R +0% +0M +b100100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5790 +0$ +#5791 +b1 R +b100100010000 E +b100100001100 - +b100100001100 5 +b100100001100 G +b100100001100 U +b1011000 f +1$ +#5792 +0$ +#5793 +b1011001 f +b10 R +1$ +#5794 +0$ +#5795 +b11 R +b1011010 f +1$ +#5796 +0$ +#5797 +b1011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5798 +0$ +#5799 +b0 R +0% +0M +b100100010000 D +b1011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5800 +0$ +#5801 +b1011101 f +b1 R +b100100010100 E +b100100010000 - +b100100010000 5 +b100100010000 G +b100100010000 U +1$ +#5802 +0$ +#5803 +b10 R +b1011110 f +1$ +#5804 +0$ +#5805 +b1011111 f +b11 R +1$ +#5806 +0$ +#5807 +b100 R +b1100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5808 +0$ +#5809 +b1100001 f +b0 R +0% +0M +b100100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5810 +0$ +#5811 +b1 R +b100100011000 E +b100100010100 - +b100100010100 5 +b100100010100 G +b100100010100 U +b1100010 f +1$ +#5812 +0$ +#5813 +b1100011 f +b10 R +1$ +#5814 +0$ +#5815 +b11 R +b1100100 f +1$ +#5816 +0$ +#5817 +b1100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5818 +0$ +#5819 +b0 R +0% +0M +b100100011000 D +b1100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5820 +0$ +#5821 +b1100111 f +b1 R +b100100011100 E +b100100011000 - +b100100011000 5 +b100100011000 G +b100100011000 U +1$ +#5822 +0$ +#5823 +b10 R +b1101000 f +1$ +#5824 +0$ +#5825 +b1101001 f +b11 R +1$ +#5826 +0$ +#5827 +b100 R +b1101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5828 +0$ +#5829 +b1101011 f +b0 R +0% +0M +b100100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5830 +0$ +#5831 +b1 R +b100100100000 E +b100100011100 - +b100100011100 5 +b100100011100 G +b100100011100 U +b1101100 f +1$ +#5832 +0$ +#5833 +b1101101 f +b10 R +1$ +#5834 +0$ +#5835 +b11 R +b1101110 f +1$ +#5836 +0$ +#5837 +b1101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5838 +0$ +#5839 +b0 R +0% +0M +b100100100000 D +b1110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5840 +0$ +#5841 +b1110001 f +b1 R +b100100100100 E +b100100100000 - +b100100100000 5 +b100100100000 G +b100100100000 U +1$ +#5842 +0$ +#5843 +b10 R +b1110010 f +1$ +#5844 +0$ +#5845 +b1110011 f +b11 R +1$ +#5846 +0$ +#5847 +b100 R +b1110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5848 +0$ +#5849 +b1110101 f +b0 R +0% +0M +b100100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5850 +0$ +#5851 +b1 R +b100100101000 E +b100100100100 - +b100100100100 5 +b100100100100 G +b100100100100 U +b1110110 f +1$ +#5852 +0$ +#5853 +b1110111 f +b10 R +1$ +#5854 +0$ +#5855 +b11 R +b1111000 f +1$ +#5856 +0$ +#5857 +b1111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5858 +0$ +#5859 +b0 R +0% +0M +b100100101000 D +b1111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5860 +0$ +#5861 +b1111011 f +b1 R +b100100101100 E +b100100101000 - +b100100101000 5 +b100100101000 G +b100100101000 U +1$ +#5862 +0$ +#5863 +b10 R +b1111100 f +1$ +#5864 +0$ +#5865 +b1111101 f +b11 R +1$ +#5866 +0$ +#5867 +b100 R +b1111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5868 +0$ +#5869 +b1111111 f +b0 R +0% +0M +b100100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5870 +0$ +#5871 +b1 R +b100100110000 E +b100100101100 - +b100100101100 5 +b100100101100 G +b100100101100 U +b10000000 f +1$ +#5872 +0$ +#5873 +b10000001 f +b10 R +1$ +#5874 +0$ +#5875 +b11 R +b10000010 f +1$ +#5876 +0$ +#5877 +b10000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5878 +0$ +#5879 +b0 R +0% +0M +b100100110000 D +b10000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5880 +0$ +#5881 +b10000101 f +b1 R +b100100110100 E +b100100110000 - +b100100110000 5 +b100100110000 G +b100100110000 U +1$ +#5882 +0$ +#5883 +b10 R +b10000110 f +1$ +#5884 +0$ +#5885 +b10000111 f +b11 R +1$ +#5886 +0$ +#5887 +b100 R +b10001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5888 +0$ +#5889 +b10001001 f +b0 R +0% +0M +b100100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5890 +0$ +#5891 +b1 R +b100100111000 E +b100100110100 - +b100100110100 5 +b100100110100 G +b100100110100 U +b10001010 f +1$ +#5892 +0$ +#5893 +b10001011 f +b10 R +1$ +#5894 +0$ +#5895 +b11 R +b10001100 f +1$ +#5896 +0$ +#5897 +b10001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5898 +0$ +#5899 +b0 R +0% +0M +b100100111000 D +b10001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5900 +0$ +#5901 +b10001111 f +b1 R +b100100111100 E +b100100111000 - +b100100111000 5 +b100100111000 G +b100100111000 U +1$ +#5902 +0$ +#5903 +b10 R +b10010000 f +1$ +#5904 +0$ +#5905 +b10010001 f +b11 R +1$ +#5906 +0$ +#5907 +b100 R +b10010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5908 +0$ +#5909 +b10010011 f +b0 R +0% +0M +b100100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5910 +0$ +#5911 +b1 R +b100101000000 E +b100100111100 - +b100100111100 5 +b100100111100 G +b100100111100 U +b10010100 f +1$ +#5912 +0$ +#5913 +b10010101 f +b10 R +1$ +#5914 +0$ +#5915 +b11 R +b10010110 f +1$ +#5916 +0$ +#5917 +b10010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5918 +0$ +#5919 +b0 R +0% +0M +b100101000000 D +b10011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5920 +0$ +#5921 +b10011001 f +b1 R +b100101000100 E +b100101000000 - +b100101000000 5 +b100101000000 G +b100101000000 U +1$ +#5922 +0$ +#5923 +b10 R +b10011010 f +1$ +#5924 +0$ +#5925 +b10011011 f +b11 R +1$ +#5926 +0$ +#5927 +b100 R +b10011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5928 +0$ +#5929 +b10011101 f +b0 R +0% +0M +b100101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5930 +0$ +#5931 +b1 R +b100101001000 E +b100101000100 - +b100101000100 5 +b100101000100 G +b100101000100 U +b10011110 f +1$ +#5932 +0$ +#5933 +b10011111 f +b10 R +1$ +#5934 +0$ +#5935 +b11 R +b10100000 f +1$ +#5936 +0$ +#5937 +b10100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5938 +0$ +#5939 +b0 R +0% +0M +b100101001000 D +b10100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5940 +0$ +#5941 +b10100011 f +b1 R +b100101001100 E +b100101001000 - +b100101001000 5 +b100101001000 G +b100101001000 U +1$ +#5942 +0$ +#5943 +b10 R +b10100100 f +1$ +#5944 +0$ +#5945 +b10100101 f +b11 R +1$ +#5946 +0$ +#5947 +b100 R +b10100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5948 +0$ +#5949 +b10100111 f +b0 R +0% +0M +b100101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5950 +0$ +#5951 +b1 R +b100101010000 E +b100101001100 - +b100101001100 5 +b100101001100 G +b100101001100 U +b10101000 f +1$ +#5952 +0$ +#5953 +b10101001 f +b10 R +1$ +#5954 +0$ +#5955 +b11 R +b10101010 f +1$ +#5956 +0$ +#5957 +b10101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5958 +0$ +#5959 +b0 R +0% +0M +b100101010000 D +b10101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5960 +0$ +#5961 +b10101101 f +b1 R +b100101010100 E +b100101010000 - +b100101010000 5 +b100101010000 G +b100101010000 U +1$ +#5962 +0$ +#5963 +b10 R +b10101110 f +1$ +#5964 +0$ +#5965 +b10101111 f +b11 R +1$ +#5966 +0$ +#5967 +b100 R +b10110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5968 +0$ +#5969 +b10110001 f +b0 R +0% +0M +b100101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5970 +0$ +#5971 +b1 R +b100101011000 E +b100101010100 - +b100101010100 5 +b100101010100 G +b100101010100 U +b10110010 f +1$ +#5972 +0$ +#5973 +b10110011 f +b10 R +1$ +#5974 +0$ +#5975 +b11 R +b10110100 f +1$ +#5976 +0$ +#5977 +b10110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5978 +0$ +#5979 +b0 R +0% +0M +b100101011000 D +b10110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5980 +0$ +#5981 +b10110111 f +b1 R +b100101011100 E +b100101011000 - +b100101011000 5 +b100101011000 G +b100101011000 U +1$ +#5982 +0$ +#5983 +b10 R +b10111000 f +1$ +#5984 +0$ +#5985 +b10111001 f +b11 R +1$ +#5986 +0$ +#5987 +b100 R +b10111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5988 +0$ +#5989 +b10111011 f +b0 R +0% +0M +b100101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#5990 +0$ +#5991 +b1 R +b100101100000 E +b100101011100 - +b100101011100 5 +b100101011100 G +b100101011100 U +b10111100 f +1$ +#5992 +0$ +#5993 +b10111101 f +b10 R +1$ +#5994 +0$ +#5995 +b11 R +b10111110 f +1$ +#5996 +0$ +#5997 +b10111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#5998 +0$ +#5999 +b0 R +0% +0M +b100101100000 D +b11000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6000 +0$ +#6001 +b11000001 f +b1 R +b100101100100 E +b100101100000 - +b100101100000 5 +b100101100000 G +b100101100000 U +1$ +#6002 +0$ +#6003 +b10 R +b11000010 f +1$ +#6004 +0$ +#6005 +b11000011 f +b11 R +1$ +#6006 +0$ +#6007 +b100 R +b11000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6008 +0$ +#6009 +b11000101 f +b0 R +0% +0M +b100101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6010 +0$ +#6011 +b1 R +b100101101000 E +b100101100100 - +b100101100100 5 +b100101100100 G +b100101100100 U +b11000110 f +1$ +#6012 +0$ +#6013 +b11000111 f +b10 R +1$ +#6014 +0$ +#6015 +b11 R +b11001000 f +1$ +#6016 +0$ +#6017 +b11001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6018 +0$ +#6019 +b0 R +0% +0M +b100101101000 D +b11001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6020 +0$ +#6021 +b11001011 f +b1 R +b100101101100 E +b100101101000 - +b100101101000 5 +b100101101000 G +b100101101000 U +1$ +#6022 +0$ +#6023 +b10 R +b11001100 f +1$ +#6024 +0$ +#6025 +b11001101 f +b11 R +1$ +#6026 +0$ +#6027 +b100 R +b11001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6028 +0$ +#6029 +b11001111 f +b0 R +0% +0M +b100101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6030 +0$ +#6031 +b1 R +b100101110000 E +b100101101100 - +b100101101100 5 +b100101101100 G +b100101101100 U +b11010000 f +1$ +#6032 +0$ +#6033 +b11010001 f +b10 R +1$ +#6034 +0$ +#6035 +b11 R +b11010010 f +1$ +#6036 +0$ +#6037 +b11010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6038 +0$ +#6039 +b0 R +0% +0M +b100101110000 D +b11010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6040 +0$ +#6041 +b11010101 f +b1 R +b100101110100 E +b100101110000 - +b100101110000 5 +b100101110000 G +b100101110000 U +1$ +#6042 +0$ +#6043 +b10 R +b11010110 f +1$ +#6044 +0$ +#6045 +b11010111 f +b11 R +1$ +#6046 +0$ +#6047 +b100 R +b11011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6048 +0$ +#6049 +b11011001 f +b0 R +0% +0M +b100101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6050 +0$ +#6051 +b1 R +b100101111000 E +b100101110100 - +b100101110100 5 +b100101110100 G +b100101110100 U +b11011010 f +1$ +#6052 +0$ +#6053 +b11011011 f +b10 R +1$ +#6054 +0$ +#6055 +b11 R +b11011100 f +1$ +#6056 +0$ +#6057 +b11011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6058 +0$ +#6059 +b0 R +0% +0M +b100101111000 D +b11011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6060 +0$ +#6061 +b11011111 f +b1 R +b100101111100 E +b100101111000 - +b100101111000 5 +b100101111000 G +b100101111000 U +1$ +#6062 +0$ +#6063 +b10 R +b11100000 f +1$ +#6064 +0$ +#6065 +b11100001 f +b11 R +1$ +#6066 +0$ +#6067 +b100 R +b11100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6068 +0$ +#6069 +b11100011 f +b0 R +0% +0M +b100101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6070 +0$ +#6071 +b1 R +b100110000000 E +b100101111100 - +b100101111100 5 +b100101111100 G +b100101111100 U +b11100100 f +1$ +#6072 +0$ +#6073 +b11100101 f +b10 R +1$ +#6074 +0$ +#6075 +b11 R +b11100110 f +1$ +#6076 +0$ +#6077 +b11100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6078 +0$ +#6079 +b0 R +0% +0M +b100110000000 D +b11101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6080 +0$ +#6081 +b11101001 f +b1 R +b100110000100 E +b100110000000 - +b100110000000 5 +b100110000000 G +b100110000000 U +1$ +#6082 +0$ +#6083 +b10 R +1] +b0 f +1$ +#6084 +0$ +#6085 +0] +b1 f +1) +b1 l +b11 R +1$ +#6086 +0$ +#6087 +b100 R +0! +0m +b10 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6088 +0$ +#6089 +b11 f +b0 R +0% +0M +b100110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6090 +0$ +#6091 +b1 R +b100110001000 E +b100110000100 - +b100110000100 5 +b100110000100 G +b100110000100 U +b100 f +1$ +#6092 +0$ +#6093 +b101 f +b10 R +1$ +#6094 +0$ +#6095 +b11 R +b110 f +1$ +#6096 +0$ +#6097 +b111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6098 +0$ +#6099 +b0 R +0% +0M +b100110001000 D +b1000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6100 +0$ +#6101 +b1001 f +b1 R +b100110001100 E +b100110001000 - +b100110001000 5 +b100110001000 G +b100110001000 U +1$ +#6102 +0$ +#6103 +b10 R +b1010 f +1$ +#6104 +0$ +#6105 +b1011 f +b11 R +1$ +#6106 +0$ +#6107 +b100 R +b1100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6108 +0$ +#6109 +b1101 f +b0 R +0% +0M +b100110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6110 +0$ +#6111 +b1 R +b100110010000 E +b100110001100 - +b100110001100 5 +b100110001100 G +b100110001100 U +b1110 f +1$ +#6112 +0$ +#6113 +b1111 f +b10 R +1$ +#6114 +0$ +#6115 +b11 R +b10000 f +1$ +#6116 +0$ +#6117 +b10001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6118 +0$ +#6119 +b0 R +0% +0M +b100110010000 D +b10010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6120 +0$ +#6121 +b10011 f +b1 R +b100110010100 E +b100110010000 - +b100110010000 5 +b100110010000 G +b100110010000 U +1$ +#6122 +0$ +#6123 +b10 R +b10100 f +1$ +#6124 +0$ +#6125 +b10101 f +b11 R +1$ +#6126 +0$ +#6127 +b100 R +b10110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6128 +0$ +#6129 +b10111 f +b0 R +0% +0M +b100110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6130 +0$ +#6131 +b1 R +b100110011000 E +b100110010100 - +b100110010100 5 +b100110010100 G +b100110010100 U +b11000 f +1$ +#6132 +0$ +#6133 +b11001 f +b10 R +1$ +#6134 +0$ +#6135 +b11 R +b11010 f +1$ +#6136 +0$ +#6137 +b11011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6138 +0$ +#6139 +b0 R +0% +0M +b100110011000 D +b11100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6140 +0$ +#6141 +b11101 f +b1 R +b100110011100 E +b100110011000 - +b100110011000 5 +b100110011000 G +b100110011000 U +1$ +#6142 +0$ +#6143 +b10 R +b11110 f +1$ +#6144 +0$ +#6145 +b11111 f +b11 R +1$ +#6146 +0$ +#6147 +b100 R +b100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6148 +0$ +#6149 +b100001 f +b0 R +0% +0M +b100110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6150 +0$ +#6151 +b1 R +b100110100000 E +b100110011100 - +b100110011100 5 +b100110011100 G +b100110011100 U +b100010 f +1$ +#6152 +0$ +#6153 +b100011 f +b10 R +1$ +#6154 +0$ +#6155 +b11 R +b100100 f +1$ +#6156 +0$ +#6157 +b100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6158 +0$ +#6159 +b0 R +0% +0M +b100110100000 D +b100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6160 +0$ +#6161 +b100111 f +b1 R +b100110100100 E +b100110100000 - +b100110100000 5 +b100110100000 G +b100110100000 U +1$ +#6162 +0$ +#6163 +b10 R +b101000 f +1$ +#6164 +0$ +#6165 +b101001 f +b11 R +1$ +#6166 +0$ +#6167 +b100 R +b101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6168 +0$ +#6169 +b101011 f +b0 R +0% +0M +b100110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6170 +0$ +#6171 +b1 R +b100110101000 E +b100110100100 - +b100110100100 5 +b100110100100 G +b100110100100 U +b101100 f +1$ +#6172 +0$ +#6173 +b101101 f +b10 R +1$ +#6174 +0$ +#6175 +b11 R +b101110 f +1$ +#6176 +0$ +#6177 +b101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6178 +0$ +#6179 +b0 R +0% +0M +b100110101000 D +b110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6180 +0$ +#6181 +b110001 f +b1 R +b100110101100 E +b100110101000 - +b100110101000 5 +b100110101000 G +b100110101000 U +1$ +#6182 +0$ +#6183 +b10 R +b110010 f +1$ +#6184 +0$ +#6185 +b110011 f +b11 R +1$ +#6186 +0$ +#6187 +b100 R +b110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6188 +0$ +#6189 +b110101 f +b0 R +0% +0M +b100110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6190 +0$ +#6191 +b1 R +b100110110000 E +b100110101100 - +b100110101100 5 +b100110101100 G +b100110101100 U +b110110 f +1$ +#6192 +0$ +#6193 +b110111 f +b10 R +1$ +#6194 +0$ +#6195 +b11 R +b111000 f +1$ +#6196 +0$ +#6197 +b111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6198 +0$ +#6199 +b0 R +0% +0M +b100110110000 D +b111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6200 +0$ +#6201 +b111011 f +b1 R +b100110110100 E +b100110110000 - +b100110110000 5 +b100110110000 G +b100110110000 U +1$ +#6202 +0$ +#6203 +b10 R +b111100 f +1$ +#6204 +0$ +#6205 +b111101 f +b11 R +1$ +#6206 +0$ +#6207 +b100 R +b111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6208 +0$ +#6209 +b111111 f +b0 R +0% +0M +b100110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6210 +0$ +#6211 +b1 R +b100110111000 E +b100110110100 - +b100110110100 5 +b100110110100 G +b100110110100 U +b1000000 f +1$ +#6212 +0$ +#6213 +b1000001 f +b10 R +1$ +#6214 +0$ +#6215 +b11 R +b1000010 f +1$ +#6216 +0$ +#6217 +b1000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6218 +0$ +#6219 +b0 R +0% +0M +b100110111000 D +b1000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6220 +0$ +#6221 +b1000101 f +b1 R +b100110111100 E +b100110111000 - +b100110111000 5 +b100110111000 G +b100110111000 U +1$ +#6222 +0$ +#6223 +b10 R +b1000110 f +1$ +#6224 +0$ +#6225 +b1000111 f +b11 R +1$ +#6226 +0$ +#6227 +b100 R +b1001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6228 +0$ +#6229 +b1001001 f +b0 R +0% +0M +b100110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6230 +0$ +#6231 +b1 R +b100111000000 E +b100110111100 - +b100110111100 5 +b100110111100 G +b100110111100 U +b1001010 f +1$ +#6232 +0$ +#6233 +b1001011 f +b10 R +1$ +#6234 +0$ +#6235 +b11 R +b1001100 f +1$ +#6236 +0$ +#6237 +b1001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6238 +0$ +#6239 +b0 R +0% +0M +b100111000000 D +b1001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6240 +0$ +#6241 +b1001111 f +b1 R +b100111000100 E +b100111000000 - +b100111000000 5 +b100111000000 G +b100111000000 U +1$ +#6242 +0$ +#6243 +b10 R +b1010000 f +1$ +#6244 +0$ +#6245 +b1010001 f +b11 R +1$ +#6246 +0$ +#6247 +b100 R +b1010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6248 +0$ +#6249 +b1010011 f +b0 R +0% +0M +b100111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6250 +0$ +#6251 +b1 R +b100111001000 E +b100111000100 - +b100111000100 5 +b100111000100 G +b100111000100 U +b1010100 f +1$ +#6252 +0$ +#6253 +b1010101 f +b10 R +1$ +#6254 +0$ +#6255 +b11 R +b1010110 f +1$ +#6256 +0$ +#6257 +b1010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6258 +0$ +#6259 +b0 R +0% +0M +b100111001000 D +b1011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6260 +0$ +#6261 +b1011001 f +b1 R +b100111001100 E +b100111001000 - +b100111001000 5 +b100111001000 G +b100111001000 U +1$ +#6262 +0$ +#6263 +b10 R +b1011010 f +1$ +#6264 +0$ +#6265 +b1011011 f +b11 R +1$ +#6266 +0$ +#6267 +b100 R +b1011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6268 +0$ +#6269 +b1011101 f +b0 R +0% +0M +b100111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6270 +0$ +#6271 +b1 R +b100111010000 E +b100111001100 - +b100111001100 5 +b100111001100 G +b100111001100 U +b1011110 f +1$ +#6272 +0$ +#6273 +b1011111 f +b10 R +1$ +#6274 +0$ +#6275 +b11 R +b1100000 f +1$ +#6276 +0$ +#6277 +b1100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6278 +0$ +#6279 +b0 R +0% +0M +b100111010000 D +b1100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6280 +0$ +#6281 +b1100011 f +b1 R +b100111010100 E +b100111010000 - +b100111010000 5 +b100111010000 G +b100111010000 U +1$ +#6282 +0$ +#6283 +b10 R +b1100100 f +1$ +#6284 +0$ +#6285 +b1100101 f +b11 R +1$ +#6286 +0$ +#6287 +b100 R +b1100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6288 +0$ +#6289 +b1100111 f +b0 R +0% +0M +b100111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6290 +0$ +#6291 +b1 R +b100111011000 E +b100111010100 - +b100111010100 5 +b100111010100 G +b100111010100 U +b1101000 f +1$ +#6292 +0$ +#6293 +b1101001 f +b10 R +1$ +#6294 +0$ +#6295 +b11 R +b1101010 f +1$ +#6296 +0$ +#6297 +b1101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6298 +0$ +#6299 +b0 R +0% +0M +b100111011000 D +b1101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6300 +0$ +#6301 +b1101101 f +b1 R +b100111011100 E +b100111011000 - +b100111011000 5 +b100111011000 G +b100111011000 U +1$ +#6302 +0$ +#6303 +b10 R +b1101110 f +1$ +#6304 +0$ +#6305 +b1101111 f +b11 R +1$ +#6306 +0$ +#6307 +b100 R +b1110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6308 +0$ +#6309 +b1110001 f +b0 R +0% +0M +b100111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6310 +0$ +#6311 +b1 R +b100111100000 E +b100111011100 - +b100111011100 5 +b100111011100 G +b100111011100 U +b1110010 f +1$ +#6312 +0$ +#6313 +b1110011 f +b10 R +1$ +#6314 +0$ +#6315 +b11 R +b1110100 f +1$ +#6316 +0$ +#6317 +b1110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6318 +0$ +#6319 +b0 R +0% +0M +b100111100000 D +b1110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6320 +0$ +#6321 +b1110111 f +b1 R +b100111100100 E +b100111100000 - +b100111100000 5 +b100111100000 G +b100111100000 U +1$ +#6322 +0$ +#6323 +b10 R +b1111000 f +1$ +#6324 +0$ +#6325 +b1111001 f +b11 R +1$ +#6326 +0$ +#6327 +b100 R +b1111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6328 +0$ +#6329 +b1111011 f +b0 R +0% +0M +b100111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6330 +0$ +#6331 +b1 R +b100111101000 E +b100111100100 - +b100111100100 5 +b100111100100 G +b100111100100 U +b1111100 f +1$ +#6332 +0$ +#6333 +b1111101 f +b10 R +1$ +#6334 +0$ +#6335 +b11 R +b1111110 f +1$ +#6336 +0$ +#6337 +b1111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6338 +0$ +#6339 +b0 R +0% +0M +b100111101000 D +b10000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6340 +0$ +#6341 +b10000001 f +b1 R +b100111101100 E +b100111101000 - +b100111101000 5 +b100111101000 G +b100111101000 U +1$ +#6342 +0$ +#6343 +b10 R +b10000010 f +1$ +#6344 +0$ +#6345 +b10000011 f +b11 R +1$ +#6346 +0$ +#6347 +b100 R +b10000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6348 +0$ +#6349 +b10000101 f +b0 R +0% +0M +b100111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6350 +0$ +#6351 +b1 R +b100111110000 E +b100111101100 - +b100111101100 5 +b100111101100 G +b100111101100 U +b10000110 f +1$ +#6352 +0$ +#6353 +b10000111 f +b10 R +1$ +#6354 +0$ +#6355 +b11 R +b10001000 f +1$ +#6356 +0$ +#6357 +b10001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6358 +0$ +#6359 +b0 R +0% +0M +b100111110000 D +b10001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6360 +0$ +#6361 +b10001011 f +b1 R +b100111110100 E +b100111110000 - +b100111110000 5 +b100111110000 G +b100111110000 U +1$ +#6362 +0$ +#6363 +b10 R +b10001100 f +1$ +#6364 +0$ +#6365 +b10001101 f +b11 R +1$ +#6366 +0$ +#6367 +b100 R +b10001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6368 +0$ +#6369 +b10001111 f +b0 R +0% +0M +b100111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6370 +0$ +#6371 +b1 R +b100111111000 E +b100111110100 - +b100111110100 5 +b100111110100 G +b100111110100 U +b10010000 f +1$ +#6372 +0$ +#6373 +b10010001 f +b10 R +1$ +#6374 +0$ +#6375 +b11 R +b10010010 f +1$ +#6376 +0$ +#6377 +b10010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6378 +0$ +#6379 +b0 R +0% +0M +b100111111000 D +b10010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6380 +0$ +#6381 +b10010101 f +b1 R +b100111111100 E +b100111111000 - +b100111111000 5 +b100111111000 G +b100111111000 U +1$ +#6382 +0$ +#6383 +b10 R +b10010110 f +1$ +#6384 +0$ +#6385 +b10010111 f +b11 R +1$ +#6386 +0$ +#6387 +b100 R +b10011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6388 +0$ +#6389 +b10011001 f +b0 R +0% +0M +b100111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6390 +0$ +#6391 +b1 R +b101000000000 E +b100111111100 - +b100111111100 5 +b100111111100 G +b100111111100 U +b10011010 f +1$ +#6392 +0$ +#6393 +b10011011 f +b10 R +1$ +#6394 +0$ +#6395 +b11 R +b10011100 f +1$ +#6396 +0$ +#6397 +b10011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6398 +0$ +#6399 +b0 R +0% +0M +b101000000000 D +b10011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6400 +0$ +#6401 +b10011111 f +b1 R +b101000000100 E +b101000000000 - +b101000000000 5 +b101000000000 G +b101000000000 U +1$ +#6402 +0$ +#6403 +b10 R +b10100000 f +1$ +#6404 +0$ +#6405 +b10100001 f +b11 R +1$ +#6406 +0$ +#6407 +b100 R +b10100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6408 +0$ +#6409 +b10100011 f +b0 R +0% +0M +b101000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6410 +0$ +#6411 +b1 R +b101000001000 E +b101000000100 - +b101000000100 5 +b101000000100 G +b101000000100 U +b10100100 f +1$ +#6412 +0$ +#6413 +b10100101 f +b10 R +1$ +#6414 +0$ +#6415 +b11 R +b10100110 f +1$ +#6416 +0$ +#6417 +b10100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6418 +0$ +#6419 +b0 R +0% +0M +b101000001000 D +b10101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6420 +0$ +#6421 +b10101001 f +b1 R +b101000001100 E +b101000001000 - +b101000001000 5 +b101000001000 G +b101000001000 U +1$ +#6422 +0$ +#6423 +b10 R +b10101010 f +1$ +#6424 +0$ +#6425 +b10101011 f +b11 R +1$ +#6426 +0$ +#6427 +b100 R +b10101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6428 +0$ +#6429 +b10101101 f +b0 R +0% +0M +b101000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6430 +0$ +#6431 +b1 R +b101000010000 E +b101000001100 - +b101000001100 5 +b101000001100 G +b101000001100 U +b10101110 f +1$ +#6432 +0$ +#6433 +b10101111 f +b10 R +1$ +#6434 +0$ +#6435 +b11 R +b10110000 f +1$ +#6436 +0$ +#6437 +b10110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6438 +0$ +#6439 +b0 R +0% +0M +b101000010000 D +b10110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6440 +0$ +#6441 +b10110011 f +b1 R +b101000010100 E +b101000010000 - +b101000010000 5 +b101000010000 G +b101000010000 U +1$ +#6442 +0$ +#6443 +b10 R +b10110100 f +1$ +#6444 +0$ +#6445 +b10110101 f +b11 R +1$ +#6446 +0$ +#6447 +b100 R +b10110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6448 +0$ +#6449 +b10110111 f +b0 R +0% +0M +b101000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6450 +0$ +#6451 +b1 R +b101000011000 E +b101000010100 - +b101000010100 5 +b101000010100 G +b101000010100 U +b10111000 f +1$ +#6452 +0$ +#6453 +b10111001 f +b10 R +1$ +#6454 +0$ +#6455 +b11 R +b10111010 f +1$ +#6456 +0$ +#6457 +b10111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6458 +0$ +#6459 +b0 R +0% +0M +b101000011000 D +b10111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6460 +0$ +#6461 +b10111101 f +b1 R +b101000011100 E +b101000011000 - +b101000011000 5 +b101000011000 G +b101000011000 U +1$ +#6462 +0$ +#6463 +b10 R +b10111110 f +1$ +#6464 +0$ +#6465 +b10111111 f +b11 R +1$ +#6466 +0$ +#6467 +b100 R +b11000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6468 +0$ +#6469 +b11000001 f +b0 R +0% +0M +b101000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6470 +0$ +#6471 +b1 R +b101000100000 E +b101000011100 - +b101000011100 5 +b101000011100 G +b101000011100 U +b11000010 f +1$ +#6472 +0$ +#6473 +b11000011 f +b10 R +1$ +#6474 +0$ +#6475 +b11 R +b11000100 f +1$ +#6476 +0$ +#6477 +b11000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6478 +0$ +#6479 +b0 R +0% +0M +b101000100000 D +b11000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6480 +0$ +#6481 +b11000111 f +b1 R +b101000100100 E +b101000100000 - +b101000100000 5 +b101000100000 G +b101000100000 U +1$ +#6482 +0$ +#6483 +b10 R +b11001000 f +1$ +#6484 +0$ +#6485 +b11001001 f +b11 R +1$ +#6486 +0$ +#6487 +b100 R +b11001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6488 +0$ +#6489 +b11001011 f +b0 R +0% +0M +b101000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6490 +0$ +#6491 +b1 R +b101000101000 E +b101000100100 - +b101000100100 5 +b101000100100 G +b101000100100 U +b11001100 f +1$ +#6492 +0$ +#6493 +b11001101 f +b10 R +1$ +#6494 +0$ +#6495 +b11 R +b11001110 f +1$ +#6496 +0$ +#6497 +b11001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6498 +0$ +#6499 +b0 R +0% +0M +b101000101000 D +b11010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6500 +0$ +#6501 +b11010001 f +b1 R +b101000101100 E +b101000101000 - +b101000101000 5 +b101000101000 G +b101000101000 U +1$ +#6502 +0$ +#6503 +b10 R +b11010010 f +1$ +#6504 +0$ +#6505 +b11010011 f +b11 R +1$ +#6506 +0$ +#6507 +b100 R +b11010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6508 +0$ +#6509 +b11010101 f +b0 R +0% +0M +b101000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6510 +0$ +#6511 +b1 R +b101000110000 E +b101000101100 - +b101000101100 5 +b101000101100 G +b101000101100 U +b11010110 f +1$ +#6512 +0$ +#6513 +b11010111 f +b10 R +1$ +#6514 +0$ +#6515 +b11 R +b11011000 f +1$ +#6516 +0$ +#6517 +b11011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6518 +0$ +#6519 +b0 R +0% +0M +b101000110000 D +b11011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6520 +0$ +#6521 +b11011011 f +b1 R +b101000110100 E +b101000110000 - +b101000110000 5 +b101000110000 G +b101000110000 U +1$ +#6522 +0$ +#6523 +b10 R +b11011100 f +1$ +#6524 +0$ +#6525 +b11011101 f +b11 R +1$ +#6526 +0$ +#6527 +b100 R +b11011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6528 +0$ +#6529 +b11011111 f +b0 R +0% +0M +b101000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6530 +0$ +#6531 +b1 R +b101000111000 E +b101000110100 - +b101000110100 5 +b101000110100 G +b101000110100 U +b11100000 f +1$ +#6532 +0$ +#6533 +b11100001 f +b10 R +1$ +#6534 +0$ +#6535 +b11 R +b11100010 f +1$ +#6536 +0$ +#6537 +b11100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6538 +0$ +#6539 +b0 R +0% +0M +b101000111000 D +b11100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6540 +0$ +#6541 +b11100101 f +b1 R +b101000111100 E +b101000111000 - +b101000111000 5 +b101000111000 G +b101000111000 U +1$ +#6542 +0$ +#6543 +b10 R +b11100110 f +1$ +#6544 +0$ +#6545 +b11100111 f +b11 R +1$ +#6546 +0$ +#6547 +b100 R +b11101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6548 +0$ +#6549 +b11101001 f +b0 R +0% +0M +b101000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6550 +0$ +#6551 +b1 R +b101001000000 E +b101000111100 - +b101000111100 5 +b101000111100 G +b101000111100 U +1] +b0 f +1$ +#6552 +0$ +#6553 +0] +b1 f +b10 l +b0 k +b10 R +1$ +#6554 +0$ +#6555 +b11 R +1! +1m +b10 f +1$ +#6556 +0$ +#6557 +b11 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6558 +0$ +#6559 +b0 R +0% +0M +b101001000000 D +b100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6560 +0$ +#6561 +b101 f +b1 R +b101001000100 E +b101001000000 - +b101001000000 5 +b101001000000 G +b101001000000 U +1$ +#6562 +0$ +#6563 +b10 R +b110 f +1$ +#6564 +0$ +#6565 +b111 f +b11 R +1$ +#6566 +0$ +#6567 +b100 R +b1000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6568 +0$ +#6569 +b1001 f +b0 R +0% +0M +b101001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6570 +0$ +#6571 +b1 R +b101001001000 E +b101001000100 - +b101001000100 5 +b101001000100 G +b101001000100 U +b1010 f +1$ +#6572 +0$ +#6573 +b1011 f +b10 R +1$ +#6574 +0$ +#6575 +b11 R +b1100 f +1$ +#6576 +0$ +#6577 +b1101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6578 +0$ +#6579 +b0 R +0% +0M +b101001001000 D +b1110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6580 +0$ +#6581 +b1111 f +b1 R +b101001001100 E +b101001001000 - +b101001001000 5 +b101001001000 G +b101001001000 U +1$ +#6582 +0$ +#6583 +b10 R +b10000 f +1$ +#6584 +0$ +#6585 +b10001 f +b11 R +1$ +#6586 +0$ +#6587 +b100 R +b10010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6588 +0$ +#6589 +b10011 f +b0 R +0% +0M +b101001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6590 +0$ +#6591 +b1 R +b101001010000 E +b101001001100 - +b101001001100 5 +b101001001100 G +b101001001100 U +b10100 f +1$ +#6592 +0$ +#6593 +b10101 f +b10 R +1$ +#6594 +0$ +#6595 +b11 R +b10110 f +1$ +#6596 +0$ +#6597 +b10111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6598 +0$ +#6599 +b0 R +0% +0M +b101001010000 D +b11000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6600 +0$ +#6601 +b11001 f +b1 R +b101001010100 E +b101001010000 - +b101001010000 5 +b101001010000 G +b101001010000 U +1$ +#6602 +0$ +#6603 +b10 R +b11010 f +1$ +#6604 +0$ +#6605 +b11011 f +b11 R +1$ +#6606 +0$ +#6607 +b100 R +b11100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6608 +0$ +#6609 +b11101 f +b0 R +0% +0M +b101001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6610 +0$ +#6611 +b1 R +b101001011000 E +b101001010100 - +b101001010100 5 +b101001010100 G +b101001010100 U +b11110 f +1$ +#6612 +0$ +#6613 +b11111 f +b10 R +1$ +#6614 +0$ +#6615 +b11 R +b100000 f +1$ +#6616 +0$ +#6617 +b100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6618 +0$ +#6619 +b0 R +0% +0M +b101001011000 D +b100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6620 +0$ +#6621 +b100011 f +b1 R +b101001011100 E +b101001011000 - +b101001011000 5 +b101001011000 G +b101001011000 U +1$ +#6622 +0$ +#6623 +b10 R +b100100 f +1$ +#6624 +0$ +#6625 +b100101 f +b11 R +1$ +#6626 +0$ +#6627 +b100 R +b100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6628 +0$ +#6629 +b100111 f +b0 R +0% +0M +b101001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6630 +0$ +#6631 +b1 R +b101001100000 E +b101001011100 - +b101001011100 5 +b101001011100 G +b101001011100 U +b101000 f +1$ +#6632 +0$ +#6633 +b101001 f +b10 R +1$ +#6634 +0$ +#6635 +b11 R +b101010 f +1$ +#6636 +0$ +#6637 +b101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6638 +0$ +#6639 +b0 R +0% +0M +b101001100000 D +b101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6640 +0$ +#6641 +b101101 f +b1 R +b101001100100 E +b101001100000 - +b101001100000 5 +b101001100000 G +b101001100000 U +1$ +#6642 +0$ +#6643 +b10 R +b101110 f +1$ +#6644 +0$ +#6645 +b101111 f +b11 R +1$ +#6646 +0$ +#6647 +b100 R +b110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6648 +0$ +#6649 +b110001 f +b0 R +0% +0M +b101001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6650 +0$ +#6651 +b1 R +b101001101000 E +b101001100100 - +b101001100100 5 +b101001100100 G +b101001100100 U +b110010 f +1$ +#6652 +0$ +#6653 +b110011 f +b10 R +1$ +#6654 +0$ +#6655 +b11 R +b110100 f +1$ +#6656 +0$ +#6657 +b110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6658 +0$ +#6659 +b0 R +0% +0M +b101001101000 D +b110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6660 +0$ +#6661 +b110111 f +b1 R +b101001101100 E +b101001101000 - +b101001101000 5 +b101001101000 G +b101001101000 U +1$ +#6662 +0$ +#6663 +b10 R +b111000 f +1$ +#6664 +0$ +#6665 +b111001 f +b11 R +1$ +#6666 +0$ +#6667 +b100 R +b111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6668 +0$ +#6669 +b111011 f +b0 R +0% +0M +b101001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6670 +0$ +#6671 +b1 R +b101001110000 E +b101001101100 - +b101001101100 5 +b101001101100 G +b101001101100 U +b111100 f +1$ +#6672 +0$ +#6673 +b111101 f +b10 R +1$ +#6674 +0$ +#6675 +b11 R +b111110 f +1$ +#6676 +0$ +#6677 +b111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6678 +0$ +#6679 +b0 R +0% +0M +b101001110000 D +b1000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6680 +0$ +#6681 +b1000001 f +b1 R +b101001110100 E +b101001110000 - +b101001110000 5 +b101001110000 G +b101001110000 U +1$ +#6682 +0$ +#6683 +b10 R +b1000010 f +1$ +#6684 +0$ +#6685 +b1000011 f +b11 R +1$ +#6686 +0$ +#6687 +b100 R +b1000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6688 +0$ +#6689 +b1000101 f +b0 R +0% +0M +b101001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6690 +0$ +#6691 +b1 R +b101001111000 E +b101001110100 - +b101001110100 5 +b101001110100 G +b101001110100 U +b1000110 f +1$ +#6692 +0$ +#6693 +b1000111 f +b10 R +1$ +#6694 +0$ +#6695 +b11 R +b1001000 f +1$ +#6696 +0$ +#6697 +b1001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6698 +0$ +#6699 +b0 R +0% +0M +b101001111000 D +b1001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6700 +0$ +#6701 +b1001011 f +b1 R +b101001111100 E +b101001111000 - +b101001111000 5 +b101001111000 G +b101001111000 U +1$ +#6702 +0$ +#6703 +b10 R +b1001100 f +1$ +#6704 +0$ +#6705 +b1001101 f +b11 R +1$ +#6706 +0$ +#6707 +b100 R +b1001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6708 +0$ +#6709 +b1001111 f +b0 R +0% +0M +b101001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6710 +0$ +#6711 +b1 R +b101010000000 E +b101001111100 - +b101001111100 5 +b101001111100 G +b101001111100 U +b1010000 f +1$ +#6712 +0$ +#6713 +b1010001 f +b10 R +1$ +#6714 +0$ +#6715 +b11 R +b1010010 f +1$ +#6716 +0$ +#6717 +b1010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6718 +0$ +#6719 +b0 R +0% +0M +b101010000000 D +b1010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6720 +0$ +#6721 +b1010101 f +b1 R +b101010000100 E +b101010000000 - +b101010000000 5 +b101010000000 G +b101010000000 U +1$ +#6722 +0$ +#6723 +b10 R +b1010110 f +1$ +#6724 +0$ +#6725 +b1010111 f +b11 R +1$ +#6726 +0$ +#6727 +b100 R +b1011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6728 +0$ +#6729 +b1011001 f +b0 R +0% +0M +b101010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6730 +0$ +#6731 +b1 R +b101010001000 E +b101010000100 - +b101010000100 5 +b101010000100 G +b101010000100 U +b1011010 f +1$ +#6732 +0$ +#6733 +b1011011 f +b10 R +1$ +#6734 +0$ +#6735 +b11 R +b1011100 f +1$ +#6736 +0$ +#6737 +b1011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6738 +0$ +#6739 +b0 R +0% +0M +b101010001000 D +b1011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6740 +0$ +#6741 +b1011111 f +b1 R +b101010001100 E +b101010001000 - +b101010001000 5 +b101010001000 G +b101010001000 U +1$ +#6742 +0$ +#6743 +b10 R +b1100000 f +1$ +#6744 +0$ +#6745 +b1100001 f +b11 R +1$ +#6746 +0$ +#6747 +b100 R +b1100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6748 +0$ +#6749 +b1100011 f +b0 R +0% +0M +b101010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6750 +0$ +#6751 +b1 R +b101010010000 E +b101010001100 - +b101010001100 5 +b101010001100 G +b101010001100 U +b1100100 f +1$ +#6752 +0$ +#6753 +b1100101 f +b10 R +1$ +#6754 +0$ +#6755 +b11 R +b1100110 f +1$ +#6756 +0$ +#6757 +b1100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6758 +0$ +#6759 +b0 R +0% +0M +b101010010000 D +b1101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6760 +0$ +#6761 +b1101001 f +b1 R +b101010010100 E +b101010010000 - +b101010010000 5 +b101010010000 G +b101010010000 U +1$ +#6762 +0$ +#6763 +b10 R +b1101010 f +1$ +#6764 +0$ +#6765 +b1101011 f +b11 R +1$ +#6766 +0$ +#6767 +b100 R +b1101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6768 +0$ +#6769 +b1101101 f +b0 R +0% +0M +b101010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6770 +0$ +#6771 +b1 R +b101010011000 E +b101010010100 - +b101010010100 5 +b101010010100 G +b101010010100 U +b1101110 f +1$ +#6772 +0$ +#6773 +b1101111 f +b10 R +1$ +#6774 +0$ +#6775 +b11 R +b1110000 f +1$ +#6776 +0$ +#6777 +b1110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6778 +0$ +#6779 +b0 R +0% +0M +b101010011000 D +b1110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6780 +0$ +#6781 +b1110011 f +b1 R +b101010011100 E +b101010011000 - +b101010011000 5 +b101010011000 G +b101010011000 U +1$ +#6782 +0$ +#6783 +b10 R +b1110100 f +1$ +#6784 +0$ +#6785 +b1110101 f +b11 R +1$ +#6786 +0$ +#6787 +b100 R +b1110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6788 +0$ +#6789 +b1110111 f +b0 R +0% +0M +b101010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6790 +0$ +#6791 +b1 R +b101010100000 E +b101010011100 - +b101010011100 5 +b101010011100 G +b101010011100 U +b1111000 f +1$ +#6792 +0$ +#6793 +b1111001 f +b10 R +1$ +#6794 +0$ +#6795 +b11 R +b1111010 f +1$ +#6796 +0$ +#6797 +b1111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6798 +0$ +#6799 +b0 R +0% +0M +b101010100000 D +b1111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6800 +0$ +#6801 +b1111101 f +b1 R +b101010100100 E +b101010100000 - +b101010100000 5 +b101010100000 G +b101010100000 U +1$ +#6802 +0$ +#6803 +b10 R +b1111110 f +1$ +#6804 +0$ +#6805 +b1111111 f +b11 R +1$ +#6806 +0$ +#6807 +b100 R +b10000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6808 +0$ +#6809 +b10000001 f +b0 R +0% +0M +b101010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6810 +0$ +#6811 +b1 R +b101010101000 E +b101010100100 - +b101010100100 5 +b101010100100 G +b101010100100 U +b10000010 f +1$ +#6812 +0$ +#6813 +b10000011 f +b10 R +1$ +#6814 +0$ +#6815 +b11 R +b10000100 f +1$ +#6816 +0$ +#6817 +b10000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6818 +0$ +#6819 +b0 R +0% +0M +b101010101000 D +b10000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6820 +0$ +#6821 +b10000111 f +b1 R +b101010101100 E +b101010101000 - +b101010101000 5 +b101010101000 G +b101010101000 U +1$ +#6822 +0$ +#6823 +b10 R +b10001000 f +1$ +#6824 +0$ +#6825 +b10001001 f +b11 R +1$ +#6826 +0$ +#6827 +b100 R +b10001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6828 +0$ +#6829 +b10001011 f +b0 R +0% +0M +b101010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6830 +0$ +#6831 +b1 R +b101010110000 E +b101010101100 - +b101010101100 5 +b101010101100 G +b101010101100 U +b10001100 f +1$ +#6832 +0$ +#6833 +b10001101 f +b10 R +1$ +#6834 +0$ +#6835 +b11 R +b10001110 f +1$ +#6836 +0$ +#6837 +b10001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6838 +0$ +#6839 +b0 R +0% +0M +b101010110000 D +b10010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6840 +0$ +#6841 +b10010001 f +b1 R +b101010110100 E +b101010110000 - +b101010110000 5 +b101010110000 G +b101010110000 U +1$ +#6842 +0$ +#6843 +b10 R +b10010010 f +1$ +#6844 +0$ +#6845 +b10010011 f +b11 R +1$ +#6846 +0$ +#6847 +b100 R +b10010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6848 +0$ +#6849 +b10010101 f +b0 R +0% +0M +b101010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6850 +0$ +#6851 +b1 R +b101010111000 E +b101010110100 - +b101010110100 5 +b101010110100 G +b101010110100 U +b10010110 f +1$ +#6852 +0$ +#6853 +b10010111 f +b10 R +1$ +#6854 +0$ +#6855 +b11 R +b10011000 f +1$ +#6856 +0$ +#6857 +b10011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6858 +0$ +#6859 +b0 R +0% +0M +b101010111000 D +b10011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6860 +0$ +#6861 +b10011011 f +b1 R +b101010111100 E +b101010111000 - +b101010111000 5 +b101010111000 G +b101010111000 U +1$ +#6862 +0$ +#6863 +b10 R +b10011100 f +1$ +#6864 +0$ +#6865 +b10011101 f +b11 R +1$ +#6866 +0$ +#6867 +b100 R +b10011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6868 +0$ +#6869 +b10011111 f +b0 R +0% +0M +b101010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6870 +0$ +#6871 +b1 R +b101011000000 E +b101010111100 - +b101010111100 5 +b101010111100 G +b101010111100 U +b10100000 f +1$ +#6872 +0$ +#6873 +b10100001 f +b10 R +1$ +#6874 +0$ +#6875 +b11 R +b10100010 f +1$ +#6876 +0$ +#6877 +b10100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6878 +0$ +#6879 +b0 R +0% +0M +b101011000000 D +b10100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6880 +0$ +#6881 +b10100101 f +b1 R +b101011000100 E +b101011000000 - +b101011000000 5 +b101011000000 G +b101011000000 U +1$ +#6882 +0$ +#6883 +b10 R +b10100110 f +1$ +#6884 +0$ +#6885 +b10100111 f +b11 R +1$ +#6886 +0$ +#6887 +b100 R +b10101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6888 +0$ +#6889 +b10101001 f +b0 R +0% +0M +b101011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6890 +0$ +#6891 +b1 R +b101011001000 E +b101011000100 - +b101011000100 5 +b101011000100 G +b101011000100 U +b10101010 f +1$ +#6892 +0$ +#6893 +b10101011 f +b10 R +1$ +#6894 +0$ +#6895 +b11 R +b10101100 f +1$ +#6896 +0$ +#6897 +b10101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6898 +0$ +#6899 +b0 R +0% +0M +b101011001000 D +b10101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6900 +0$ +#6901 +b10101111 f +b1 R +b101011001100 E +b101011001000 - +b101011001000 5 +b101011001000 G +b101011001000 U +1$ +#6902 +0$ +#6903 +b10 R +b10110000 f +1$ +#6904 +0$ +#6905 +b10110001 f +b11 R +1$ +#6906 +0$ +#6907 +b100 R +b10110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6908 +0$ +#6909 +b10110011 f +b0 R +0% +0M +b101011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6910 +0$ +#6911 +b1 R +b101011010000 E +b101011001100 - +b101011001100 5 +b101011001100 G +b101011001100 U +b10110100 f +1$ +#6912 +0$ +#6913 +b10110101 f +b10 R +1$ +#6914 +0$ +#6915 +b11 R +b10110110 f +1$ +#6916 +0$ +#6917 +b10110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6918 +0$ +#6919 +b0 R +0% +0M +b101011010000 D +b10111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6920 +0$ +#6921 +b10111001 f +b1 R +b101011010100 E +b101011010000 - +b101011010000 5 +b101011010000 G +b101011010000 U +1$ +#6922 +0$ +#6923 +b10 R +b10111010 f +1$ +#6924 +0$ +#6925 +b10111011 f +b11 R +1$ +#6926 +0$ +#6927 +b100 R +b10111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6928 +0$ +#6929 +b10111101 f +b0 R +0% +0M +b101011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6930 +0$ +#6931 +b1 R +b101011011000 E +b101011010100 - +b101011010100 5 +b101011010100 G +b101011010100 U +b10111110 f +1$ +#6932 +0$ +#6933 +b10111111 f +b10 R +1$ +#6934 +0$ +#6935 +b11 R +b11000000 f +1$ +#6936 +0$ +#6937 +b11000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6938 +0$ +#6939 +b0 R +0% +0M +b101011011000 D +b11000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6940 +0$ +#6941 +b11000011 f +b1 R +b101011011100 E +b101011011000 - +b101011011000 5 +b101011011000 G +b101011011000 U +1$ +#6942 +0$ +#6943 +b10 R +b11000100 f +1$ +#6944 +0$ +#6945 +b11000101 f +b11 R +1$ +#6946 +0$ +#6947 +b100 R +b11000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6948 +0$ +#6949 +b11000111 f +b0 R +0% +0M +b101011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6950 +0$ +#6951 +b1 R +b101011100000 E +b101011011100 - +b101011011100 5 +b101011011100 G +b101011011100 U +b11001000 f +1$ +#6952 +0$ +#6953 +b11001001 f +b10 R +1$ +#6954 +0$ +#6955 +b11 R +b11001010 f +1$ +#6956 +0$ +#6957 +b11001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6958 +0$ +#6959 +b0 R +0% +0M +b101011100000 D +b11001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6960 +0$ +#6961 +b11001101 f +b1 R +b101011100100 E +b101011100000 - +b101011100000 5 +b101011100000 G +b101011100000 U +1$ +#6962 +0$ +#6963 +b10 R +b11001110 f +1$ +#6964 +0$ +#6965 +b11001111 f +b11 R +1$ +#6966 +0$ +#6967 +b100 R +b11010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6968 +0$ +#6969 +b11010001 f +b0 R +0% +0M +b101011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6970 +0$ +#6971 +b1 R +b101011101000 E +b101011100100 - +b101011100100 5 +b101011100100 G +b101011100100 U +b11010010 f +1$ +#6972 +0$ +#6973 +b11010011 f +b10 R +1$ +#6974 +0$ +#6975 +b11 R +b11010100 f +1$ +#6976 +0$ +#6977 +b11010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6978 +0$ +#6979 +b0 R +0% +0M +b101011101000 D +b11010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6980 +0$ +#6981 +b11010111 f +b1 R +b101011101100 E +b101011101000 - +b101011101000 5 +b101011101000 G +b101011101000 U +1$ +#6982 +0$ +#6983 +b10 R +b11011000 f +1$ +#6984 +0$ +#6985 +b11011001 f +b11 R +1$ +#6986 +0$ +#6987 +b100 R +b11011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6988 +0$ +#6989 +b11011011 f +b0 R +0% +0M +b101011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#6990 +0$ +#6991 +b1 R +b101011110000 E +b101011101100 - +b101011101100 5 +b101011101100 G +b101011101100 U +b11011100 f +1$ +#6992 +0$ +#6993 +b11011101 f +b10 R +1$ +#6994 +0$ +#6995 +b11 R +b11011110 f +1$ +#6996 +0$ +#6997 +b11011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#6998 +0$ +#6999 +b0 R +0% +0M +b101011110000 D +b11100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7000 +0$ +#7001 +b11100001 f +b1 R +b101011110100 E +b101011110000 - +b101011110000 5 +b101011110000 G +b101011110000 U +1$ +#7002 +0$ +#7003 +b10 R +b11100010 f +1$ +#7004 +0$ +#7005 +b11100011 f +b11 R +1$ +#7006 +0$ +#7007 +b100 R +b11100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7008 +0$ +#7009 +b11100101 f +b0 R +0% +0M +b101011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7010 +0$ +#7011 +b1 R +b101011111000 E +b101011110100 - +b101011110100 5 +b101011110100 G +b101011110100 U +b11100110 f +1$ +#7012 +0$ +#7013 +b11100111 f +b10 R +1$ +#7014 +0$ +#7015 +b11 R +b11101000 f +1$ +#7016 +0$ +#7017 +b11101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7018 +0$ +#7019 +b0 R +0% +0M +b101011111000 D +1] +b0 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7020 +0$ +#7021 +0] +b1 f +b1 k +b1 R +b101011111100 E +b101011111000 - +b101011111000 5 +b101011111000 G +b101011111000 U +1$ +#7022 +0$ +#7023 +b10 R +0! +0m +b10 f +1$ +#7024 +0$ +#7025 +b11 f +b11 R +1$ +#7026 +0$ +#7027 +b100 R +b100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7028 +0$ +#7029 +b101 f +b0 R +0% +0M +b101011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7030 +0$ +#7031 +b1 R +b101100000000 E +b101011111100 - +b101011111100 5 +b101011111100 G +b101011111100 U +b110 f +1$ +#7032 +0$ +#7033 +b111 f +b10 R +1$ +#7034 +0$ +#7035 +b11 R +b1000 f +1$ +#7036 +0$ +#7037 +b1001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7038 +0$ +#7039 +b0 R +0% +0M +b101100000000 D +b1010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7040 +0$ +#7041 +b1011 f +b1 R +b101100000100 E +b101100000000 - +b101100000000 5 +b101100000000 G +b101100000000 U +1$ +#7042 +0$ +#7043 +b10 R +b1100 f +1$ +#7044 +0$ +#7045 +b1101 f +b11 R +1$ +#7046 +0$ +#7047 +b100 R +b1110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7048 +0$ +#7049 +b1111 f +b0 R +0% +0M +b101100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7050 +0$ +#7051 +b1 R +b101100001000 E +b101100000100 - +b101100000100 5 +b101100000100 G +b101100000100 U +b10000 f +1$ +#7052 +0$ +#7053 +b10001 f +b10 R +1$ +#7054 +0$ +#7055 +b11 R +b10010 f +1$ +#7056 +0$ +#7057 +b10011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7058 +0$ +#7059 +b0 R +0% +0M +b101100001000 D +b10100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7060 +0$ +#7061 +b10101 f +b1 R +b101100001100 E +b101100001000 - +b101100001000 5 +b101100001000 G +b101100001000 U +1$ +#7062 +0$ +#7063 +b10 R +b10110 f +1$ +#7064 +0$ +#7065 +b10111 f +b11 R +1$ +#7066 +0$ +#7067 +b100 R +b11000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7068 +0$ +#7069 +b11001 f +b0 R +0% +0M +b101100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7070 +0$ +#7071 +b1 R +b101100010000 E +b101100001100 - +b101100001100 5 +b101100001100 G +b101100001100 U +b11010 f +1$ +#7072 +0$ +#7073 +b11011 f +b10 R +1$ +#7074 +0$ +#7075 +b11 R +b11100 f +1$ +#7076 +0$ +#7077 +b11101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7078 +0$ +#7079 +b0 R +0% +0M +b101100010000 D +b11110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7080 +0$ +#7081 +b11111 f +b1 R +b101100010100 E +b101100010000 - +b101100010000 5 +b101100010000 G +b101100010000 U +1$ +#7082 +0$ +#7083 +b10 R +b100000 f +1$ +#7084 +0$ +#7085 +b100001 f +b11 R +1$ +#7086 +0$ +#7087 +b100 R +b100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7088 +0$ +#7089 +b100011 f +b0 R +0% +0M +b101100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7090 +0$ +#7091 +b1 R +b101100011000 E +b101100010100 - +b101100010100 5 +b101100010100 G +b101100010100 U +b100100 f +1$ +#7092 +0$ +#7093 +b100101 f +b10 R +1$ +#7094 +0$ +#7095 +b11 R +b100110 f +1$ +#7096 +0$ +#7097 +b100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7098 +0$ +#7099 +b0 R +0% +0M +b101100011000 D +b101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7100 +0$ +#7101 +b101001 f +b1 R +b101100011100 E +b101100011000 - +b101100011000 5 +b101100011000 G +b101100011000 U +1$ +#7102 +0$ +#7103 +b10 R +b101010 f +1$ +#7104 +0$ +#7105 +b101011 f +b11 R +1$ +#7106 +0$ +#7107 +b100 R +b101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7108 +0$ +#7109 +b101101 f +b0 R +0% +0M +b101100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7110 +0$ +#7111 +b1 R +b101100100000 E +b101100011100 - +b101100011100 5 +b101100011100 G +b101100011100 U +b101110 f +1$ +#7112 +0$ +#7113 +b101111 f +b10 R +1$ +#7114 +0$ +#7115 +b11 R +b110000 f +1$ +#7116 +0$ +#7117 +b110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7118 +0$ +#7119 +b0 R +0% +0M +b101100100000 D +b110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7120 +0$ +#7121 +b110011 f +b1 R +b101100100100 E +b101100100000 - +b101100100000 5 +b101100100000 G +b101100100000 U +1$ +#7122 +0$ +#7123 +b10 R +b110100 f +1$ +#7124 +0$ +#7125 +b110101 f +b11 R +1$ +#7126 +0$ +#7127 +b100 R +b110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7128 +0$ +#7129 +b110111 f +b0 R +0% +0M +b101100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7130 +0$ +#7131 +b1 R +b101100101000 E +b101100100100 - +b101100100100 5 +b101100100100 G +b101100100100 U +b111000 f +1$ +#7132 +0$ +#7133 +b111001 f +b10 R +1$ +#7134 +0$ +#7135 +b11 R +b111010 f +1$ +#7136 +0$ +#7137 +b111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7138 +0$ +#7139 +b0 R +0% +0M +b101100101000 D +b111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7140 +0$ +#7141 +b111101 f +b1 R +b101100101100 E +b101100101000 - +b101100101000 5 +b101100101000 G +b101100101000 U +1$ +#7142 +0$ +#7143 +b10 R +b111110 f +1$ +#7144 +0$ +#7145 +b111111 f +b11 R +1$ +#7146 +0$ +#7147 +b100 R +b1000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7148 +0$ +#7149 +b1000001 f +b0 R +0% +0M +b101100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7150 +0$ +#7151 +b1 R +b101100110000 E +b101100101100 - +b101100101100 5 +b101100101100 G +b101100101100 U +b1000010 f +1$ +#7152 +0$ +#7153 +b1000011 f +b10 R +1$ +#7154 +0$ +#7155 +b11 R +b1000100 f +1$ +#7156 +0$ +#7157 +b1000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7158 +0$ +#7159 +b0 R +0% +0M +b101100110000 D +b1000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7160 +0$ +#7161 +b1000111 f +b1 R +b101100110100 E +b101100110000 - +b101100110000 5 +b101100110000 G +b101100110000 U +1$ +#7162 +0$ +#7163 +b10 R +b1001000 f +1$ +#7164 +0$ +#7165 +b1001001 f +b11 R +1$ +#7166 +0$ +#7167 +b100 R +b1001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7168 +0$ +#7169 +b1001011 f +b0 R +0% +0M +b101100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7170 +0$ +#7171 +b1 R +b101100111000 E +b101100110100 - +b101100110100 5 +b101100110100 G +b101100110100 U +b1001100 f +1$ +#7172 +0$ +#7173 +b1001101 f +b10 R +1$ +#7174 +0$ +#7175 +b11 R +b1001110 f +1$ +#7176 +0$ +#7177 +b1001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7178 +0$ +#7179 +b0 R +0% +0M +b101100111000 D +b1010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7180 +0$ +#7181 +b1010001 f +b1 R +b101100111100 E +b101100111000 - +b101100111000 5 +b101100111000 G +b101100111000 U +1$ +#7182 +0$ +#7183 +b10 R +b1010010 f +1$ +#7184 +0$ +#7185 +b1010011 f +b11 R +1$ +#7186 +0$ +#7187 +b100 R +b1010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7188 +0$ +#7189 +b1010101 f +b0 R +0% +0M +b101100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7190 +0$ +#7191 +b1 R +b101101000000 E +b101100111100 - +b101100111100 5 +b101100111100 G +b101100111100 U +b1010110 f +1$ +#7192 +0$ +#7193 +b1010111 f +b10 R +1$ +#7194 +0$ +#7195 +b11 R +b1011000 f +1$ +#7196 +0$ +#7197 +b1011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7198 +0$ +#7199 +b0 R +0% +0M +b101101000000 D +b1011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7200 +0$ +#7201 +b1011011 f +b1 R +b101101000100 E +b101101000000 - +b101101000000 5 +b101101000000 G +b101101000000 U +1$ +#7202 +0$ +#7203 +b10 R +b1011100 f +1$ +#7204 +0$ +#7205 +b1011101 f +b11 R +1$ +#7206 +0$ +#7207 +b100 R +b1011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7208 +0$ +#7209 +b1011111 f +b0 R +0% +0M +b101101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7210 +0$ +#7211 +b1 R +b101101001000 E +b101101000100 - +b101101000100 5 +b101101000100 G +b101101000100 U +b1100000 f +1$ +#7212 +0$ +#7213 +b1100001 f +b10 R +1$ +#7214 +0$ +#7215 +b11 R +b1100010 f +1$ +#7216 +0$ +#7217 +b1100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7218 +0$ +#7219 +b0 R +0% +0M +b101101001000 D +b1100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7220 +0$ +#7221 +b1100101 f +b1 R +b101101001100 E +b101101001000 - +b101101001000 5 +b101101001000 G +b101101001000 U +1$ +#7222 +0$ +#7223 +b10 R +b1100110 f +1$ +#7224 +0$ +#7225 +b1100111 f +b11 R +1$ +#7226 +0$ +#7227 +b100 R +b1101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7228 +0$ +#7229 +b1101001 f +b0 R +0% +0M +b101101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7230 +0$ +#7231 +b1 R +b101101010000 E +b101101001100 - +b101101001100 5 +b101101001100 G +b101101001100 U +b1101010 f +1$ +#7232 +0$ +#7233 +b1101011 f +b10 R +1$ +#7234 +0$ +#7235 +b11 R +b1101100 f +1$ +#7236 +0$ +#7237 +b1101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7238 +0$ +#7239 +b0 R +0% +0M +b101101010000 D +b1101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7240 +0$ +#7241 +b1101111 f +b1 R +b101101010100 E +b101101010000 - +b101101010000 5 +b101101010000 G +b101101010000 U +1$ +#7242 +0$ +#7243 +b10 R +b1110000 f +1$ +#7244 +0$ +#7245 +b1110001 f +b11 R +1$ +#7246 +0$ +#7247 +b100 R +b1110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7248 +0$ +#7249 +b1110011 f +b0 R +0% +0M +b101101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7250 +0$ +#7251 +b1 R +b101101011000 E +b101101010100 - +b101101010100 5 +b101101010100 G +b101101010100 U +b1110100 f +1$ +#7252 +0$ +#7253 +b1110101 f +b10 R +1$ +#7254 +0$ +#7255 +b11 R +b1110110 f +1$ +#7256 +0$ +#7257 +b1110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7258 +0$ +#7259 +b0 R +0% +0M +b101101011000 D +b1111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7260 +0$ +#7261 +b1111001 f +b1 R +b101101011100 E +b101101011000 - +b101101011000 5 +b101101011000 G +b101101011000 U +1$ +#7262 +0$ +#7263 +b10 R +b1111010 f +1$ +#7264 +0$ +#7265 +b1111011 f +b11 R +1$ +#7266 +0$ +#7267 +b100 R +b1111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7268 +0$ +#7269 +b1111101 f +b0 R +0% +0M +b101101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7270 +0$ +#7271 +b1 R +b101101100000 E +b101101011100 - +b101101011100 5 +b101101011100 G +b101101011100 U +b1111110 f +1$ +#7272 +0$ +#7273 +b1111111 f +b10 R +1$ +#7274 +0$ +#7275 +b11 R +b10000000 f +1$ +#7276 +0$ +#7277 +b10000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7278 +0$ +#7279 +b0 R +0% +0M +b101101100000 D +b10000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7280 +0$ +#7281 +b10000011 f +b1 R +b101101100100 E +b101101100000 - +b101101100000 5 +b101101100000 G +b101101100000 U +1$ +#7282 +0$ +#7283 +b10 R +b10000100 f +1$ +#7284 +0$ +#7285 +b10000101 f +b11 R +1$ +#7286 +0$ +#7287 +b100 R +b10000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7288 +0$ +#7289 +b10000111 f +b0 R +0% +0M +b101101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7290 +0$ +#7291 +b1 R +b101101101000 E +b101101100100 - +b101101100100 5 +b101101100100 G +b101101100100 U +b10001000 f +1$ +#7292 +0$ +#7293 +b10001001 f +b10 R +1$ +#7294 +0$ +#7295 +b11 R +b10001010 f +1$ +#7296 +0$ +#7297 +b10001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7298 +0$ +#7299 +b0 R +0% +0M +b101101101000 D +b10001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7300 +0$ +#7301 +b10001101 f +b1 R +b101101101100 E +b101101101000 - +b101101101000 5 +b101101101000 G +b101101101000 U +1$ +#7302 +0$ +#7303 +b10 R +b10001110 f +1$ +#7304 +0$ +#7305 +b10001111 f +b11 R +1$ +#7306 +0$ +#7307 +b100 R +b10010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7308 +0$ +#7309 +b10010001 f +b0 R +0% +0M +b101101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7310 +0$ +#7311 +b1 R +b101101110000 E +b101101101100 - +b101101101100 5 +b101101101100 G +b101101101100 U +b10010010 f +1$ +#7312 +0$ +#7313 +b10010011 f +b10 R +1$ +#7314 +0$ +#7315 +b11 R +b10010100 f +1$ +#7316 +0$ +#7317 +b10010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7318 +0$ +#7319 +b0 R +0% +0M +b101101110000 D +b10010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7320 +0$ +#7321 +b10010111 f +b1 R +b101101110100 E +b101101110000 - +b101101110000 5 +b101101110000 G +b101101110000 U +1$ +#7322 +0$ +#7323 +b10 R +b10011000 f +1$ +#7324 +0$ +#7325 +b10011001 f +b11 R +1$ +#7326 +0$ +#7327 +b100 R +b10011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7328 +0$ +#7329 +b10011011 f +b0 R +0% +0M +b101101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7330 +0$ +#7331 +b1 R +b101101111000 E +b101101110100 - +b101101110100 5 +b101101110100 G +b101101110100 U +b10011100 f +1$ +#7332 +0$ +#7333 +b10011101 f +b10 R +1$ +#7334 +0$ +#7335 +b11 R +b10011110 f +1$ +#7336 +0$ +#7337 +b10011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7338 +0$ +#7339 +b0 R +0% +0M +b101101111000 D +b10100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7340 +0$ +#7341 +b10100001 f +b1 R +b101101111100 E +b101101111000 - +b101101111000 5 +b101101111000 G +b101101111000 U +1$ +#7342 +0$ +#7343 +b10 R +b10100010 f +1$ +#7344 +0$ +#7345 +b10100011 f +b11 R +1$ +#7346 +0$ +#7347 +b100 R +b10100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7348 +0$ +#7349 +b10100101 f +b0 R +0% +0M +b101101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7350 +0$ +#7351 +b1 R +b101110000000 E +b101101111100 - +b101101111100 5 +b101101111100 G +b101101111100 U +b10100110 f +1$ +#7352 +0$ +#7353 +b10100111 f +b10 R +1$ +#7354 +0$ +#7355 +b11 R +b10101000 f +1$ +#7356 +0$ +#7357 +b10101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7358 +0$ +#7359 +b0 R +0% +0M +b101110000000 D +b10101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7360 +0$ +#7361 +b10101011 f +b1 R +b101110000100 E +b101110000000 - +b101110000000 5 +b101110000000 G +b101110000000 U +1$ +#7362 +0$ +#7363 +b10 R +b10101100 f +1$ +#7364 +0$ +#7365 +b10101101 f +b11 R +1$ +#7366 +0$ +#7367 +b100 R +b10101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7368 +0$ +#7369 +b10101111 f +b0 R +0% +0M +b101110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7370 +0$ +#7371 +b1 R +b101110001000 E +b101110000100 - +b101110000100 5 +b101110000100 G +b101110000100 U +b10110000 f +1$ +#7372 +0$ +#7373 +b10110001 f +b10 R +1$ +#7374 +0$ +#7375 +b11 R +b10110010 f +1$ +#7376 +0$ +#7377 +b10110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7378 +0$ +#7379 +b0 R +0% +0M +b101110001000 D +b10110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7380 +0$ +#7381 +b10110101 f +b1 R +b101110001100 E +b101110001000 - +b101110001000 5 +b101110001000 G +b101110001000 U +1$ +#7382 +0$ +#7383 +b10 R +b10110110 f +1$ +#7384 +0$ +#7385 +b10110111 f +b11 R +1$ +#7386 +0$ +#7387 +b100 R +b10111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7388 +0$ +#7389 +b10111001 f +b0 R +0% +0M +b101110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7390 +0$ +#7391 +b1 R +b101110010000 E +b101110001100 - +b101110001100 5 +b101110001100 G +b101110001100 U +b10111010 f +1$ +#7392 +0$ +#7393 +b10111011 f +b10 R +1$ +#7394 +0$ +#7395 +b11 R +b10111100 f +1$ +#7396 +0$ +#7397 +b10111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7398 +0$ +#7399 +b0 R +0% +0M +b101110010000 D +b10111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7400 +0$ +#7401 +b10111111 f +b1 R +b101110010100 E +b101110010000 - +b101110010000 5 +b101110010000 G +b101110010000 U +1$ +#7402 +0$ +#7403 +b10 R +b11000000 f +1$ +#7404 +0$ +#7405 +b11000001 f +b11 R +1$ +#7406 +0$ +#7407 +b100 R +b11000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7408 +0$ +#7409 +b11000011 f +b0 R +0% +0M +b101110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7410 +0$ +#7411 +b1 R +b101110011000 E +b101110010100 - +b101110010100 5 +b101110010100 G +b101110010100 U +b11000100 f +1$ +#7412 +0$ +#7413 +b11000101 f +b10 R +1$ +#7414 +0$ +#7415 +b11 R +b11000110 f +1$ +#7416 +0$ +#7417 +b11000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7418 +0$ +#7419 +b0 R +0% +0M +b101110011000 D +b11001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7420 +0$ +#7421 +b11001001 f +b1 R +b101110011100 E +b101110011000 - +b101110011000 5 +b101110011000 G +b101110011000 U +1$ +#7422 +0$ +#7423 +b10 R +b11001010 f +1$ +#7424 +0$ +#7425 +b11001011 f +b11 R +1$ +#7426 +0$ +#7427 +b100 R +b11001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7428 +0$ +#7429 +b11001101 f +b0 R +0% +0M +b101110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7430 +0$ +#7431 +b1 R +b101110100000 E +b101110011100 - +b101110011100 5 +b101110011100 G +b101110011100 U +b11001110 f +1$ +#7432 +0$ +#7433 +b11001111 f +b10 R +1$ +#7434 +0$ +#7435 +b11 R +b11010000 f +1$ +#7436 +0$ +#7437 +b11010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7438 +0$ +#7439 +b0 R +0% +0M +b101110100000 D +b11010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7440 +0$ +#7441 +b11010011 f +b1 R +b101110100100 E +b101110100000 - +b101110100000 5 +b101110100000 G +b101110100000 U +1$ +#7442 +0$ +#7443 +b10 R +b11010100 f +1$ +#7444 +0$ +#7445 +b11010101 f +b11 R +1$ +#7446 +0$ +#7447 +b100 R +b11010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7448 +0$ +#7449 +b11010111 f +b0 R +0% +0M +b101110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7450 +0$ +#7451 +b1 R +b101110101000 E +b101110100100 - +b101110100100 5 +b101110100100 G +b101110100100 U +b11011000 f +1$ +#7452 +0$ +#7453 +b11011001 f +b10 R +1$ +#7454 +0$ +#7455 +b11 R +b11011010 f +1$ +#7456 +0$ +#7457 +b11011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7458 +0$ +#7459 +b0 R +0% +0M +b101110101000 D +b11011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7460 +0$ +#7461 +b11011101 f +b1 R +b101110101100 E +b101110101000 - +b101110101000 5 +b101110101000 G +b101110101000 U +1$ +#7462 +0$ +#7463 +b10 R +b11011110 f +1$ +#7464 +0$ +#7465 +b11011111 f +b11 R +1$ +#7466 +0$ +#7467 +b100 R +b11100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7468 +0$ +#7469 +b11100001 f +b0 R +0% +0M +b101110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7470 +0$ +#7471 +b1 R +b101110110000 E +b101110101100 - +b101110101100 5 +b101110101100 G +b101110101100 U +b11100010 f +1$ +#7472 +0$ +#7473 +b11100011 f +b10 R +1$ +#7474 +0$ +#7475 +b11 R +b11100100 f +1$ +#7476 +0$ +#7477 +b11100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7478 +0$ +#7479 +b0 R +0% +0M +b101110110000 D +b11100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7480 +0$ +#7481 +b11100111 f +b1 R +b101110110100 E +b101110110000 - +b101110110000 5 +b101110110000 G +b101110110000 U +1$ +#7482 +0$ +#7483 +b10 R +b11101000 f +1$ +#7484 +0$ +#7485 +b11101001 f +b11 R +1$ +#7486 +0$ +#7487 +b100 R +1] +b0 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7488 +0$ +#7489 +0] +b1 f +b10 k +b0 R +0% +0M +b101110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7490 +0$ +#7491 +b1 R +b101110111000 E +b101110110100 - +b101110110100 5 +b101110110100 G +b101110110100 U +b10 f +1$ +#7492 +0$ +#7493 +b11 f +b10 R +1$ +#7494 +0$ +#7495 +b11 R +b100 f +1$ +#7496 +0$ +#7497 +b101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7498 +0$ +#7499 +b0 R +0% +0M +b101110111000 D +b110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7500 +0$ +#7501 +b111 f +b1 R +b101110111100 E +b101110111000 - +b101110111000 5 +b101110111000 G +b101110111000 U +1$ +#7502 +0$ +#7503 +b10 R +b1000 f +1$ +#7504 +0$ +#7505 +b1001 f +b11 R +1$ +#7506 +0$ +#7507 +b100 R +b1010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7508 +0$ +#7509 +b1011 f +b0 R +0% +0M +b101110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7510 +0$ +#7511 +b1 R +b101111000000 E +b101110111100 - +b101110111100 5 +b101110111100 G +b101110111100 U +b1100 f +1$ +#7512 +0$ +#7513 +b1101 f +b10 R +1$ +#7514 +0$ +#7515 +b11 R +b1110 f +1$ +#7516 +0$ +#7517 +b1111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7518 +0$ +#7519 +b0 R +0% +0M +b101111000000 D +b10000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7520 +0$ +#7521 +b10001 f +b1 R +b101111000100 E +b101111000000 - +b101111000000 5 +b101111000000 G +b101111000000 U +1$ +#7522 +0$ +#7523 +b10 R +b10010 f +1$ +#7524 +0$ +#7525 +b10011 f +b11 R +1$ +#7526 +0$ +#7527 +b100 R +b10100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7528 +0$ +#7529 +b10101 f +b0 R +0% +0M +b101111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7530 +0$ +#7531 +b1 R +b101111001000 E +b101111000100 - +b101111000100 5 +b101111000100 G +b101111000100 U +b10110 f +1$ +#7532 +0$ +#7533 +b10111 f +b10 R +1$ +#7534 +0$ +#7535 +b11 R +b11000 f +1$ +#7536 +0$ +#7537 +b11001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7538 +0$ +#7539 +b0 R +0% +0M +b101111001000 D +b11010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7540 +0$ +#7541 +b11011 f +b1 R +b101111001100 E +b101111001000 - +b101111001000 5 +b101111001000 G +b101111001000 U +1$ +#7542 +0$ +#7543 +b10 R +b11100 f +1$ +#7544 +0$ +#7545 +b11101 f +b11 R +1$ +#7546 +0$ +#7547 +b100 R +b11110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7548 +0$ +#7549 +b11111 f +b0 R +0% +0M +b101111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7550 +0$ +#7551 +b1 R +b101111010000 E +b101111001100 - +b101111001100 5 +b101111001100 G +b101111001100 U +b100000 f +1$ +#7552 +0$ +#7553 +b100001 f +b10 R +1$ +#7554 +0$ +#7555 +b11 R +b100010 f +1$ +#7556 +0$ +#7557 +b100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7558 +0$ +#7559 +b0 R +0% +0M +b101111010000 D +b100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7560 +0$ +#7561 +b100101 f +b1 R +b101111010100 E +b101111010000 - +b101111010000 5 +b101111010000 G +b101111010000 U +1$ +#7562 +0$ +#7563 +b10 R +b100110 f +1$ +#7564 +0$ +#7565 +b100111 f +b11 R +1$ +#7566 +0$ +#7567 +b100 R +b101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7568 +0$ +#7569 +b101001 f +b0 R +0% +0M +b101111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7570 +0$ +#7571 +b1 R +b101111011000 E +b101111010100 - +b101111010100 5 +b101111010100 G +b101111010100 U +b101010 f +1$ +#7572 +0$ +#7573 +b101011 f +b10 R +1$ +#7574 +0$ +#7575 +b11 R +b101100 f +1$ +#7576 +0$ +#7577 +b101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7578 +0$ +#7579 +b0 R +0% +0M +b101111011000 D +b101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7580 +0$ +#7581 +b101111 f +b1 R +b101111011100 E +b101111011000 - +b101111011000 5 +b101111011000 G +b101111011000 U +1$ +#7582 +0$ +#7583 +b10 R +b110000 f +1$ +#7584 +0$ +#7585 +b110001 f +b11 R +1$ +#7586 +0$ +#7587 +b100 R +b110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7588 +0$ +#7589 +b110011 f +b0 R +0% +0M +b101111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7590 +0$ +#7591 +b1 R +b101111100000 E +b101111011100 - +b101111011100 5 +b101111011100 G +b101111011100 U +b110100 f +1$ +#7592 +0$ +#7593 +b110101 f +b10 R +1$ +#7594 +0$ +#7595 +b11 R +b110110 f +1$ +#7596 +0$ +#7597 +b110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7598 +0$ +#7599 +b0 R +0% +0M +b101111100000 D +b111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7600 +0$ +#7601 +b111001 f +b1 R +b101111100100 E +b101111100000 - +b101111100000 5 +b101111100000 G +b101111100000 U +1$ +#7602 +0$ +#7603 +b10 R +b111010 f +1$ +#7604 +0$ +#7605 +b111011 f +b11 R +1$ +#7606 +0$ +#7607 +b100 R +b111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7608 +0$ +#7609 +b111101 f +b0 R +0% +0M +b101111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7610 +0$ +#7611 +b1 R +b101111101000 E +b101111100100 - +b101111100100 5 +b101111100100 G +b101111100100 U +b111110 f +1$ +#7612 +0$ +#7613 +b111111 f +b10 R +1$ +#7614 +0$ +#7615 +b11 R +b1000000 f +1$ +#7616 +0$ +#7617 +b1000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7618 +0$ +#7619 +b0 R +0% +0M +b101111101000 D +b1000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7620 +0$ +#7621 +b1000011 f +b1 R +b101111101100 E +b101111101000 - +b101111101000 5 +b101111101000 G +b101111101000 U +1$ +#7622 +0$ +#7623 +b10 R +b1000100 f +1$ +#7624 +0$ +#7625 +b1000101 f +b11 R +1$ +#7626 +0$ +#7627 +b100 R +b1000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7628 +0$ +#7629 +b1000111 f +b0 R +0% +0M +b101111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7630 +0$ +#7631 +b1 R +b101111110000 E +b101111101100 - +b101111101100 5 +b101111101100 G +b101111101100 U +b1001000 f +1$ +#7632 +0$ +#7633 +b1001001 f +b10 R +1$ +#7634 +0$ +#7635 +b11 R +b1001010 f +1$ +#7636 +0$ +#7637 +b1001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7638 +0$ +#7639 +b0 R +0% +0M +b101111110000 D +b1001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7640 +0$ +#7641 +b1001101 f +b1 R +b101111110100 E +b101111110000 - +b101111110000 5 +b101111110000 G +b101111110000 U +1$ +#7642 +0$ +#7643 +b10 R +b1001110 f +1$ +#7644 +0$ +#7645 +b1001111 f +b11 R +1$ +#7646 +0$ +#7647 +b100 R +b1010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7648 +0$ +#7649 +b1010001 f +b0 R +0% +0M +b101111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7650 +0$ +#7651 +b1 R +b101111111000 E +b101111110100 - +b101111110100 5 +b101111110100 G +b101111110100 U +b1010010 f +1$ +#7652 +0$ +#7653 +b1010011 f +b10 R +1$ +#7654 +0$ +#7655 +b11 R +b1010100 f +1$ +#7656 +0$ +#7657 +b1010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7658 +0$ +#7659 +b0 R +0% +0M +b101111111000 D +b1010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7660 +0$ +#7661 +b1010111 f +b1 R +b101111111100 E +b101111111000 - +b101111111000 5 +b101111111000 G +b101111111000 U +1$ +#7662 +0$ +#7663 +b10 R +b1011000 f +1$ +#7664 +0$ +#7665 +b1011001 f +b11 R +1$ +#7666 +0$ +#7667 +b100 R +b1011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7668 +0$ +#7669 +b1011011 f +b0 R +0% +0M +b101111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7670 +0$ +#7671 +b1 R +b110000000000 E +b101111111100 - +b101111111100 5 +b101111111100 G +b101111111100 U +b1011100 f +1$ +#7672 +0$ +#7673 +b1011101 f +b10 R +1$ +#7674 +0$ +#7675 +b11 R +b1011110 f +1$ +#7676 +0$ +#7677 +b1011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7678 +0$ +#7679 +b0 R +0% +0M +b110000000000 D +b1100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7680 +0$ +#7681 +b1100001 f +b1 R +b110000000100 E +b110000000000 - +b110000000000 5 +b110000000000 G +b110000000000 U +1$ +#7682 +0$ +#7683 +b10 R +b1100010 f +1$ +#7684 +0$ +#7685 +b1100011 f +b11 R +1$ +#7686 +0$ +#7687 +b100 R +b1100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7688 +0$ +#7689 +b1100101 f +b0 R +0% +0M +b110000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7690 +0$ +#7691 +b1 R +b110000001000 E +b110000000100 - +b110000000100 5 +b110000000100 G +b110000000100 U +b1100110 f +1$ +#7692 +0$ +#7693 +b1100111 f +b10 R +1$ +#7694 +0$ +#7695 +b11 R +b1101000 f +1$ +#7696 +0$ +#7697 +b1101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7698 +0$ +#7699 +b0 R +0% +0M +b110000001000 D +b1101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7700 +0$ +#7701 +b1101011 f +b1 R +b110000001100 E +b110000001000 - +b110000001000 5 +b110000001000 G +b110000001000 U +1$ +#7702 +0$ +#7703 +b10 R +b1101100 f +1$ +#7704 +0$ +#7705 +b1101101 f +b11 R +1$ +#7706 +0$ +#7707 +b100 R +b1101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7708 +0$ +#7709 +b1101111 f +b0 R +0% +0M +b110000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7710 +0$ +#7711 +b1 R +b110000010000 E +b110000001100 - +b110000001100 5 +b110000001100 G +b110000001100 U +b1110000 f +1$ +#7712 +0$ +#7713 +b1110001 f +b10 R +1$ +#7714 +0$ +#7715 +b11 R +b1110010 f +1$ +#7716 +0$ +#7717 +b1110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7718 +0$ +#7719 +b0 R +0% +0M +b110000010000 D +b1110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7720 +0$ +#7721 +b1110101 f +b1 R +b110000010100 E +b110000010000 - +b110000010000 5 +b110000010000 G +b110000010000 U +1$ +#7722 +0$ +#7723 +b10 R +b1110110 f +1$ +#7724 +0$ +#7725 +b1110111 f +b11 R +1$ +#7726 +0$ +#7727 +b100 R +b1111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7728 +0$ +#7729 +b1111001 f +b0 R +0% +0M +b110000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7730 +0$ +#7731 +b1 R +b110000011000 E +b110000010100 - +b110000010100 5 +b110000010100 G +b110000010100 U +b1111010 f +1$ +#7732 +0$ +#7733 +b1111011 f +b10 R +1$ +#7734 +0$ +#7735 +b11 R +b1111100 f +1$ +#7736 +0$ +#7737 +b1111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7738 +0$ +#7739 +b0 R +0% +0M +b110000011000 D +b1111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7740 +0$ +#7741 +b1111111 f +b1 R +b110000011100 E +b110000011000 - +b110000011000 5 +b110000011000 G +b110000011000 U +1$ +#7742 +0$ +#7743 +b10 R +b10000000 f +1$ +#7744 +0$ +#7745 +b10000001 f +b11 R +1$ +#7746 +0$ +#7747 +b100 R +b10000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7748 +0$ +#7749 +b10000011 f +b0 R +0% +0M +b110000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7750 +0$ +#7751 +b1 R +b110000100000 E +b110000011100 - +b110000011100 5 +b110000011100 G +b110000011100 U +b10000100 f +1$ +#7752 +0$ +#7753 +b10000101 f +b10 R +1$ +#7754 +0$ +#7755 +b11 R +b10000110 f +1$ +#7756 +0$ +#7757 +b10000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7758 +0$ +#7759 +b0 R +0% +0M +b110000100000 D +b10001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7760 +0$ +#7761 +b10001001 f +b1 R +b110000100100 E +b110000100000 - +b110000100000 5 +b110000100000 G +b110000100000 U +1$ +#7762 +0$ +#7763 +b10 R +b10001010 f +1$ +#7764 +0$ +#7765 +b10001011 f +b11 R +1$ +#7766 +0$ +#7767 +b100 R +b10001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7768 +0$ +#7769 +b10001101 f +b0 R +0% +0M +b110000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7770 +0$ +#7771 +b1 R +b110000101000 E +b110000100100 - +b110000100100 5 +b110000100100 G +b110000100100 U +b10001110 f +1$ +#7772 +0$ +#7773 +b10001111 f +b10 R +1$ +#7774 +0$ +#7775 +b11 R +b10010000 f +1$ +#7776 +0$ +#7777 +b10010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7778 +0$ +#7779 +b0 R +0% +0M +b110000101000 D +b10010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7780 +0$ +#7781 +b10010011 f +b1 R +b110000101100 E +b110000101000 - +b110000101000 5 +b110000101000 G +b110000101000 U +1$ +#7782 +0$ +#7783 +b10 R +b10010100 f +1$ +#7784 +0$ +#7785 +b10010101 f +b11 R +1$ +#7786 +0$ +#7787 +b100 R +b10010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7788 +0$ +#7789 +b10010111 f +b0 R +0% +0M +b110000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7790 +0$ +#7791 +b1 R +b110000110000 E +b110000101100 - +b110000101100 5 +b110000101100 G +b110000101100 U +b10011000 f +1$ +#7792 +0$ +#7793 +b10011001 f +b10 R +1$ +#7794 +0$ +#7795 +b11 R +b10011010 f +1$ +#7796 +0$ +#7797 +b10011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7798 +0$ +#7799 +b0 R +0% +0M +b110000110000 D +b10011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7800 +0$ +#7801 +b10011101 f +b1 R +b110000110100 E +b110000110000 - +b110000110000 5 +b110000110000 G +b110000110000 U +1$ +#7802 +0$ +#7803 +b10 R +b10011110 f +1$ +#7804 +0$ +#7805 +b10011111 f +b11 R +1$ +#7806 +0$ +#7807 +b100 R +b10100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7808 +0$ +#7809 +b10100001 f +b0 R +0% +0M +b110000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7810 +0$ +#7811 +b1 R +b110000111000 E +b110000110100 - +b110000110100 5 +b110000110100 G +b110000110100 U +b10100010 f +1$ +#7812 +0$ +#7813 +b10100011 f +b10 R +1$ +#7814 +0$ +#7815 +b11 R +b10100100 f +1$ +#7816 +0$ +#7817 +b10100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7818 +0$ +#7819 +b0 R +0% +0M +b110000111000 D +b10100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7820 +0$ +#7821 +b10100111 f +b1 R +b110000111100 E +b110000111000 - +b110000111000 5 +b110000111000 G +b110000111000 U +1$ +#7822 +0$ +#7823 +b10 R +b10101000 f +1$ +#7824 +0$ +#7825 +b10101001 f +b11 R +1$ +#7826 +0$ +#7827 +b100 R +b10101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7828 +0$ +#7829 +b10101011 f +b0 R +0% +0M +b110000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7830 +0$ +#7831 +b1 R +b110001000000 E +b110000111100 - +b110000111100 5 +b110000111100 G +b110000111100 U +b10101100 f +1$ +#7832 +0$ +#7833 +b10101101 f +b10 R +1$ +#7834 +0$ +#7835 +b11 R +b10101110 f +1$ +#7836 +0$ +#7837 +b10101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7838 +0$ +#7839 +b0 R +0% +0M +b110001000000 D +b10110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7840 +0$ +#7841 +b10110001 f +b1 R +b110001000100 E +b110001000000 - +b110001000000 5 +b110001000000 G +b110001000000 U +1$ +#7842 +0$ +#7843 +b10 R +b10110010 f +1$ +#7844 +0$ +#7845 +b10110011 f +b11 R +1$ +#7846 +0$ +#7847 +b100 R +b10110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7848 +0$ +#7849 +b10110101 f +b0 R +0% +0M +b110001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7850 +0$ +#7851 +b1 R +b110001001000 E +b110001000100 - +b110001000100 5 +b110001000100 G +b110001000100 U +b10110110 f +1$ +#7852 +0$ +#7853 +b10110111 f +b10 R +1$ +#7854 +0$ +#7855 +b11 R +b10111000 f +1$ +#7856 +0$ +#7857 +b10111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7858 +0$ +#7859 +b0 R +0% +0M +b110001001000 D +b10111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7860 +0$ +#7861 +b10111011 f +b1 R +b110001001100 E +b110001001000 - +b110001001000 5 +b110001001000 G +b110001001000 U +1$ +#7862 +0$ +#7863 +b10 R +b10111100 f +1$ +#7864 +0$ +#7865 +b10111101 f +b11 R +1$ +#7866 +0$ +#7867 +b100 R +b10111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7868 +0$ +#7869 +b10111111 f +b0 R +0% +0M +b110001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7870 +0$ +#7871 +b1 R +b110001010000 E +b110001001100 - +b110001001100 5 +b110001001100 G +b110001001100 U +b11000000 f +1$ +#7872 +0$ +#7873 +b11000001 f +b10 R +1$ +#7874 +0$ +#7875 +b11 R +b11000010 f +1$ +#7876 +0$ +#7877 +b11000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7878 +0$ +#7879 +b0 R +0% +0M +b110001010000 D +b11000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7880 +0$ +#7881 +b11000101 f +b1 R +b110001010100 E +b110001010000 - +b110001010000 5 +b110001010000 G +b110001010000 U +1$ +#7882 +0$ +#7883 +b10 R +b11000110 f +1$ +#7884 +0$ +#7885 +b11000111 f +b11 R +1$ +#7886 +0$ +#7887 +b100 R +b11001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7888 +0$ +#7889 +b11001001 f +b0 R +0% +0M +b110001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7890 +0$ +#7891 +b1 R +b110001011000 E +b110001010100 - +b110001010100 5 +b110001010100 G +b110001010100 U +b11001010 f +1$ +#7892 +0$ +#7893 +b11001011 f +b10 R +1$ +#7894 +0$ +#7895 +b11 R +b11001100 f +1$ +#7896 +0$ +#7897 +b11001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7898 +0$ +#7899 +b0 R +0% +0M +b110001011000 D +b11001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7900 +0$ +#7901 +b11001111 f +b1 R +b110001011100 E +b110001011000 - +b110001011000 5 +b110001011000 G +b110001011000 U +1$ +#7902 +0$ +#7903 +b10 R +b11010000 f +1$ +#7904 +0$ +#7905 +b11010001 f +b11 R +1$ +#7906 +0$ +#7907 +b100 R +b11010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7908 +0$ +#7909 +b11010011 f +b0 R +0% +0M +b110001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7910 +0$ +#7911 +b1 R +b110001100000 E +b110001011100 - +b110001011100 5 +b110001011100 G +b110001011100 U +b11010100 f +1$ +#7912 +0$ +#7913 +b11010101 f +b10 R +1$ +#7914 +0$ +#7915 +b11 R +b11010110 f +1$ +#7916 +0$ +#7917 +b11010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7918 +0$ +#7919 +b0 R +0% +0M +b110001100000 D +b11011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7920 +0$ +#7921 +b11011001 f +b1 R +b110001100100 E +b110001100000 - +b110001100000 5 +b110001100000 G +b110001100000 U +1$ +#7922 +0$ +#7923 +b10 R +b11011010 f +1$ +#7924 +0$ +#7925 +b11011011 f +b11 R +1$ +#7926 +0$ +#7927 +b100 R +b11011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7928 +0$ +#7929 +b11011101 f +b0 R +0% +0M +b110001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7930 +0$ +#7931 +b1 R +b110001101000 E +b110001100100 - +b110001100100 5 +b110001100100 G +b110001100100 U +b11011110 f +1$ +#7932 +0$ +#7933 +b11011111 f +b10 R +1$ +#7934 +0$ +#7935 +b11 R +b11100000 f +1$ +#7936 +0$ +#7937 +b11100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7938 +0$ +#7939 +b0 R +0% +0M +b110001101000 D +b11100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7940 +0$ +#7941 +b11100011 f +b1 R +b110001101100 E +b110001101000 - +b110001101000 5 +b110001101000 G +b110001101000 U +1$ +#7942 +0$ +#7943 +b10 R +b11100100 f +1$ +#7944 +0$ +#7945 +b11100101 f +b11 R +1$ +#7946 +0$ +#7947 +b100 R +b11100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7948 +0$ +#7949 +b11100111 f +b0 R +0% +0M +b110001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7950 +0$ +#7951 +b1 R +b110001110000 E +b110001101100 - +b110001101100 5 +b110001101100 G +b110001101100 U +b11101000 f +1$ +#7952 +0$ +#7953 +b11101001 f +b10 R +1$ +#7954 +0$ +#7955 +b11 R +1] +b0 f +1$ +#7956 +0$ +#7957 +0] +b1 f +b11 k +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7958 +0$ +#7959 +b0 R +0% +0M +b110001110000 D +b10 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7960 +0$ +#7961 +b11 f +b1 R +b110001110100 E +b110001110000 - +b110001110000 5 +b110001110000 G +b110001110000 U +1$ +#7962 +0$ +#7963 +b10 R +b100 f +1$ +#7964 +0$ +#7965 +b101 f +b11 R +1$ +#7966 +0$ +#7967 +b100 R +b110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7968 +0$ +#7969 +b111 f +b0 R +0% +0M +b110001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7970 +0$ +#7971 +b1 R +b110001111000 E +b110001110100 - +b110001110100 5 +b110001110100 G +b110001110100 U +b1000 f +1$ +#7972 +0$ +#7973 +b1001 f +b10 R +1$ +#7974 +0$ +#7975 +b11 R +b1010 f +1$ +#7976 +0$ +#7977 +b1011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7978 +0$ +#7979 +b0 R +0% +0M +b110001111000 D +b1100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7980 +0$ +#7981 +b1101 f +b1 R +b110001111100 E +b110001111000 - +b110001111000 5 +b110001111000 G +b110001111000 U +1$ +#7982 +0$ +#7983 +b10 R +b1110 f +1$ +#7984 +0$ +#7985 +b1111 f +b11 R +1$ +#7986 +0$ +#7987 +b100 R +b10000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7988 +0$ +#7989 +b10001 f +b0 R +0% +0M +b110001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#7990 +0$ +#7991 +b1 R +b110010000000 E +b110001111100 - +b110001111100 5 +b110001111100 G +b110001111100 U +b10010 f +1$ +#7992 +0$ +#7993 +b10011 f +b10 R +1$ +#7994 +0$ +#7995 +b11 R +b10100 f +1$ +#7996 +0$ +#7997 +b10101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#7998 +0$ +#7999 +b0 R +0% +0M +b110010000000 D +b10110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8000 +0$ +#8001 +b10111 f +b1 R +b110010000100 E +b110010000000 - +b110010000000 5 +b110010000000 G +b110010000000 U +1$ +#8002 +0$ +#8003 +b10 R +b11000 f +1$ +#8004 +0$ +#8005 +b11001 f +b11 R +1$ +#8006 +0$ +#8007 +b100 R +b11010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8008 +0$ +#8009 +b11011 f +b0 R +0% +0M +b110010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8010 +0$ +#8011 +b1 R +b110010001000 E +b110010000100 - +b110010000100 5 +b110010000100 G +b110010000100 U +b11100 f +1$ +#8012 +0$ +#8013 +b11101 f +b10 R +1$ +#8014 +0$ +#8015 +b11 R +b11110 f +1$ +#8016 +0$ +#8017 +b11111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8018 +0$ +#8019 +b0 R +0% +0M +b110010001000 D +b100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8020 +0$ +#8021 +b100001 f +b1 R +b110010001100 E +b110010001000 - +b110010001000 5 +b110010001000 G +b110010001000 U +1$ +#8022 +0$ +#8023 +b10 R +b100010 f +1$ +#8024 +0$ +#8025 +b100011 f +b11 R +1$ +#8026 +0$ +#8027 +b100 R +b100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8028 +0$ +#8029 +b100101 f +b0 R +0% +0M +b110010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8030 +0$ +#8031 +b1 R +b110010010000 E +b110010001100 - +b110010001100 5 +b110010001100 G +b110010001100 U +b100110 f +1$ +#8032 +0$ +#8033 +b100111 f +b10 R +1$ +#8034 +0$ +#8035 +b11 R +b101000 f +1$ +#8036 +0$ +#8037 +b101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8038 +0$ +#8039 +b0 R +0% +0M +b110010010000 D +b101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8040 +0$ +#8041 +b101011 f +b1 R +b110010010100 E +b110010010000 - +b110010010000 5 +b110010010000 G +b110010010000 U +1$ +#8042 +0$ +#8043 +b10 R +b101100 f +1$ +#8044 +0$ +#8045 +b101101 f +b11 R +1$ +#8046 +0$ +#8047 +b100 R +b101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8048 +0$ +#8049 +b101111 f +b0 R +0% +0M +b110010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8050 +0$ +#8051 +b1 R +b110010011000 E +b110010010100 - +b110010010100 5 +b110010010100 G +b110010010100 U +b110000 f +1$ +#8052 +0$ +#8053 +b110001 f +b10 R +1$ +#8054 +0$ +#8055 +b11 R +b110010 f +1$ +#8056 +0$ +#8057 +b110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8058 +0$ +#8059 +b0 R +0% +0M +b110010011000 D +b110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8060 +0$ +#8061 +b110101 f +b1 R +b110010011100 E +b110010011000 - +b110010011000 5 +b110010011000 G +b110010011000 U +1$ +#8062 +0$ +#8063 +b10 R +b110110 f +1$ +#8064 +0$ +#8065 +b110111 f +b11 R +1$ +#8066 +0$ +#8067 +b100 R +b111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8068 +0$ +#8069 +b111001 f +b0 R +0% +0M +b110010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8070 +0$ +#8071 +b1 R +b110010100000 E +b110010011100 - +b110010011100 5 +b110010011100 G +b110010011100 U +b111010 f +1$ +#8072 +0$ +#8073 +b111011 f +b10 R +1$ +#8074 +0$ +#8075 +b11 R +b111100 f +1$ +#8076 +0$ +#8077 +b111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8078 +0$ +#8079 +b0 R +0% +0M +b110010100000 D +b111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8080 +0$ +#8081 +b111111 f +b1 R +b110010100100 E +b110010100000 - +b110010100000 5 +b110010100000 G +b110010100000 U +1$ +#8082 +0$ +#8083 +b10 R +b1000000 f +1$ +#8084 +0$ +#8085 +b1000001 f +b11 R +1$ +#8086 +0$ +#8087 +b100 R +b1000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8088 +0$ +#8089 +b1000011 f +b0 R +0% +0M +b110010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8090 +0$ +#8091 +b1 R +b110010101000 E +b110010100100 - +b110010100100 5 +b110010100100 G +b110010100100 U +b1000100 f +1$ +#8092 +0$ +#8093 +b1000101 f +b10 R +1$ +#8094 +0$ +#8095 +b11 R +b1000110 f +1$ +#8096 +0$ +#8097 +b1000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8098 +0$ +#8099 +b0 R +0% +0M +b110010101000 D +b1001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8100 +0$ +#8101 +b1001001 f +b1 R +b110010101100 E +b110010101000 - +b110010101000 5 +b110010101000 G +b110010101000 U +1$ +#8102 +0$ +#8103 +b10 R +b1001010 f +1$ +#8104 +0$ +#8105 +b1001011 f +b11 R +1$ +#8106 +0$ +#8107 +b100 R +b1001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8108 +0$ +#8109 +b1001101 f +b0 R +0% +0M +b110010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8110 +0$ +#8111 +b1 R +b110010110000 E +b110010101100 - +b110010101100 5 +b110010101100 G +b110010101100 U +b1001110 f +1$ +#8112 +0$ +#8113 +b1001111 f +b10 R +1$ +#8114 +0$ +#8115 +b11 R +b1010000 f +1$ +#8116 +0$ +#8117 +b1010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8118 +0$ +#8119 +b0 R +0% +0M +b110010110000 D +b1010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8120 +0$ +#8121 +b1010011 f +b1 R +b110010110100 E +b110010110000 - +b110010110000 5 +b110010110000 G +b110010110000 U +1$ +#8122 +0$ +#8123 +b10 R +b1010100 f +1$ +#8124 +0$ +#8125 +b1010101 f +b11 R +1$ +#8126 +0$ +#8127 +b100 R +b1010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8128 +0$ +#8129 +b1010111 f +b0 R +0% +0M +b110010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8130 +0$ +#8131 +b1 R +b110010111000 E +b110010110100 - +b110010110100 5 +b110010110100 G +b110010110100 U +b1011000 f +1$ +#8132 +0$ +#8133 +b1011001 f +b10 R +1$ +#8134 +0$ +#8135 +b11 R +b1011010 f +1$ +#8136 +0$ +#8137 +b1011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8138 +0$ +#8139 +b0 R +0% +0M +b110010111000 D +b1011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8140 +0$ +#8141 +b1011101 f +b1 R +b110010111100 E +b110010111000 - +b110010111000 5 +b110010111000 G +b110010111000 U +1$ +#8142 +0$ +#8143 +b10 R +b1011110 f +1$ +#8144 +0$ +#8145 +b1011111 f +b11 R +1$ +#8146 +0$ +#8147 +b100 R +b1100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8148 +0$ +#8149 +b1100001 f +b0 R +0% +0M +b110010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8150 +0$ +#8151 +b1 R +b110011000000 E +b110010111100 - +b110010111100 5 +b110010111100 G +b110010111100 U +b1100010 f +1$ +#8152 +0$ +#8153 +b1100011 f +b10 R +1$ +#8154 +0$ +#8155 +b11 R +b1100100 f +1$ +#8156 +0$ +#8157 +b1100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8158 +0$ +#8159 +b0 R +0% +0M +b110011000000 D +b1100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8160 +0$ +#8161 +b1100111 f +b1 R +b110011000100 E +b110011000000 - +b110011000000 5 +b110011000000 G +b110011000000 U +1$ +#8162 +0$ +#8163 +b10 R +b1101000 f +1$ +#8164 +0$ +#8165 +b1101001 f +b11 R +1$ +#8166 +0$ +#8167 +b100 R +b1101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8168 +0$ +#8169 +b1101011 f +b0 R +0% +0M +b110011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8170 +0$ +#8171 +b1 R +b110011001000 E +b110011000100 - +b110011000100 5 +b110011000100 G +b110011000100 U +b1101100 f +1$ +#8172 +0$ +#8173 +b1101101 f +b10 R +1$ +#8174 +0$ +#8175 +b11 R +b1101110 f +1$ +#8176 +0$ +#8177 +b1101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8178 +0$ +#8179 +b0 R +0% +0M +b110011001000 D +b1110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8180 +0$ +#8181 +b1110001 f +b1 R +b110011001100 E +b110011001000 - +b110011001000 5 +b110011001000 G +b110011001000 U +1$ +#8182 +0$ +#8183 +b10 R +b1110010 f +1$ +#8184 +0$ +#8185 +b1110011 f +b11 R +1$ +#8186 +0$ +#8187 +b100 R +b1110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8188 +0$ +#8189 +b1110101 f +b0 R +0% +0M +b110011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8190 +0$ +#8191 +b1 R +b110011010000 E +b110011001100 - +b110011001100 5 +b110011001100 G +b110011001100 U +b1110110 f +1$ +#8192 +0$ +#8193 +b1110111 f +b10 R +1$ +#8194 +0$ +#8195 +b11 R +b1111000 f +1$ +#8196 +0$ +#8197 +b1111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8198 +0$ +#8199 +b0 R +0% +0M +b110011010000 D +b1111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8200 +0$ +#8201 +b1111011 f +b1 R +b110011010100 E +b110011010000 - +b110011010000 5 +b110011010000 G +b110011010000 U +1$ +#8202 +0$ +#8203 +b10 R +b1111100 f +1$ +#8204 +0$ +#8205 +b1111101 f +b11 R +1$ +#8206 +0$ +#8207 +b100 R +b1111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8208 +0$ +#8209 +b1111111 f +b0 R +0% +0M +b110011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8210 +0$ +#8211 +b1 R +b110011011000 E +b110011010100 - +b110011010100 5 +b110011010100 G +b110011010100 U +b10000000 f +1$ +#8212 +0$ +#8213 +b10000001 f +b10 R +1$ +#8214 +0$ +#8215 +b11 R +b10000010 f +1$ +#8216 +0$ +#8217 +b10000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8218 +0$ +#8219 +b0 R +0% +0M +b110011011000 D +b10000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8220 +0$ +#8221 +b10000101 f +b1 R +b110011011100 E +b110011011000 - +b110011011000 5 +b110011011000 G +b110011011000 U +1$ +#8222 +0$ +#8223 +b10 R +b10000110 f +1$ +#8224 +0$ +#8225 +b10000111 f +b11 R +1$ +#8226 +0$ +#8227 +b100 R +b10001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8228 +0$ +#8229 +b10001001 f +b0 R +0% +0M +b110011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8230 +0$ +#8231 +b1 R +b110011100000 E +b110011011100 - +b110011011100 5 +b110011011100 G +b110011011100 U +b10001010 f +1$ +#8232 +0$ +#8233 +b10001011 f +b10 R +1$ +#8234 +0$ +#8235 +b11 R +b10001100 f +1$ +#8236 +0$ +#8237 +b10001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8238 +0$ +#8239 +b0 R +0% +0M +b110011100000 D +b10001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8240 +0$ +#8241 +b10001111 f +b1 R +b110011100100 E +b110011100000 - +b110011100000 5 +b110011100000 G +b110011100000 U +1$ +#8242 +0$ +#8243 +b10 R +b10010000 f +1$ +#8244 +0$ +#8245 +b10010001 f +b11 R +1$ +#8246 +0$ +#8247 +b100 R +b10010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8248 +0$ +#8249 +b10010011 f +b0 R +0% +0M +b110011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8250 +0$ +#8251 +b1 R +b110011101000 E +b110011100100 - +b110011100100 5 +b110011100100 G +b110011100100 U +b10010100 f +1$ +#8252 +0$ +#8253 +b10010101 f +b10 R +1$ +#8254 +0$ +#8255 +b11 R +b10010110 f +1$ +#8256 +0$ +#8257 +b10010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8258 +0$ +#8259 +b0 R +0% +0M +b110011101000 D +b10011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8260 +0$ +#8261 +b10011001 f +b1 R +b110011101100 E +b110011101000 - +b110011101000 5 +b110011101000 G +b110011101000 U +1$ +#8262 +0$ +#8263 +b10 R +b10011010 f +1$ +#8264 +0$ +#8265 +b10011011 f +b11 R +1$ +#8266 +0$ +#8267 +b100 R +b10011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8268 +0$ +#8269 +b10011101 f +b0 R +0% +0M +b110011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8270 +0$ +#8271 +b1 R +b110011110000 E +b110011101100 - +b110011101100 5 +b110011101100 G +b110011101100 U +b10011110 f +1$ +#8272 +0$ +#8273 +b10011111 f +b10 R +1$ +#8274 +0$ +#8275 +b11 R +b10100000 f +1$ +#8276 +0$ +#8277 +b10100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8278 +0$ +#8279 +b0 R +0% +0M +b110011110000 D +b10100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8280 +0$ +#8281 +b10100011 f +b1 R +b110011110100 E +b110011110000 - +b110011110000 5 +b110011110000 G +b110011110000 U +1$ +#8282 +0$ +#8283 +b10 R +b10100100 f +1$ +#8284 +0$ +#8285 +b10100101 f +b11 R +1$ +#8286 +0$ +#8287 +b100 R +b10100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8288 +0$ +#8289 +b10100111 f +b0 R +0% +0M +b110011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8290 +0$ +#8291 +b1 R +b110011111000 E +b110011110100 - +b110011110100 5 +b110011110100 G +b110011110100 U +b10101000 f +1$ +#8292 +0$ +#8293 +b10101001 f +b10 R +1$ +#8294 +0$ +#8295 +b11 R +b10101010 f +1$ +#8296 +0$ +#8297 +b10101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8298 +0$ +#8299 +b0 R +0% +0M +b110011111000 D +b10101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8300 +0$ +#8301 +b10101101 f +b1 R +b110011111100 E +b110011111000 - +b110011111000 5 +b110011111000 G +b110011111000 U +1$ +#8302 +0$ +#8303 +b10 R +b10101110 f +1$ +#8304 +0$ +#8305 +b10101111 f +b11 R +1$ +#8306 +0$ +#8307 +b100 R +b10110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8308 +0$ +#8309 +b10110001 f +b0 R +0% +0M +b110011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8310 +0$ +#8311 +b1 R +b110100000000 E +b110011111100 - +b110011111100 5 +b110011111100 G +b110011111100 U +b10110010 f +1$ +#8312 +0$ +#8313 +b10110011 f +b10 R +1$ +#8314 +0$ +#8315 +b11 R +b10110100 f +1$ +#8316 +0$ +#8317 +b10110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8318 +0$ +#8319 +b0 R +0% +0M +b110100000000 D +b10110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8320 +0$ +#8321 +b10110111 f +b1 R +b110100000100 E +b110100000000 - +b110100000000 5 +b110100000000 G +b110100000000 U +1$ +#8322 +0$ +#8323 +b10 R +b10111000 f +1$ +#8324 +0$ +#8325 +b10111001 f +b11 R +1$ +#8326 +0$ +#8327 +b100 R +b10111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8328 +0$ +#8329 +b10111011 f +b0 R +0% +0M +b110100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8330 +0$ +#8331 +b1 R +b110100001000 E +b110100000100 - +b110100000100 5 +b110100000100 G +b110100000100 U +b10111100 f +1$ +#8332 +0$ +#8333 +b10111101 f +b10 R +1$ +#8334 +0$ +#8335 +b11 R +b10111110 f +1$ +#8336 +0$ +#8337 +b10111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8338 +0$ +#8339 +b0 R +0% +0M +b110100001000 D +b11000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8340 +0$ +#8341 +b11000001 f +b1 R +b110100001100 E +b110100001000 - +b110100001000 5 +b110100001000 G +b110100001000 U +1$ +#8342 +0$ +#8343 +b10 R +b11000010 f +1$ +#8344 +0$ +#8345 +b11000011 f +b11 R +1$ +#8346 +0$ +#8347 +b100 R +b11000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8348 +0$ +#8349 +b11000101 f +b0 R +0% +0M +b110100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8350 +0$ +#8351 +b1 R +b110100010000 E +b110100001100 - +b110100001100 5 +b110100001100 G +b110100001100 U +b11000110 f +1$ +#8352 +0$ +#8353 +b11000111 f +b10 R +1$ +#8354 +0$ +#8355 +b11 R +b11001000 f +1$ +#8356 +0$ +#8357 +b11001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8358 +0$ +#8359 +b0 R +0% +0M +b110100010000 D +b11001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8360 +0$ +#8361 +b11001011 f +b1 R +b110100010100 E +b110100010000 - +b110100010000 5 +b110100010000 G +b110100010000 U +1$ +#8362 +0$ +#8363 +b10 R +b11001100 f +1$ +#8364 +0$ +#8365 +b11001101 f +b11 R +1$ +#8366 +0$ +#8367 +b100 R +b11001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8368 +0$ +#8369 +b11001111 f +b0 R +0% +0M +b110100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8370 +0$ +#8371 +b1 R +b110100011000 E +b110100010100 - +b110100010100 5 +b110100010100 G +b110100010100 U +b11010000 f +1$ +#8372 +0$ +#8373 +b11010001 f +b10 R +1$ +#8374 +0$ +#8375 +b11 R +b11010010 f +1$ +#8376 +0$ +#8377 +b11010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8378 +0$ +#8379 +b0 R +0% +0M +b110100011000 D +b11010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8380 +0$ +#8381 +b11010101 f +b1 R +b110100011100 E +b110100011000 - +b110100011000 5 +b110100011000 G +b110100011000 U +1$ +#8382 +0$ +#8383 +b10 R +b11010110 f +1$ +#8384 +0$ +#8385 +b11010111 f +b11 R +1$ +#8386 +0$ +#8387 +b100 R +b11011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8388 +0$ +#8389 +b11011001 f +b0 R +0% +0M +b110100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8390 +0$ +#8391 +b1 R +b110100100000 E +b110100011100 - +b110100011100 5 +b110100011100 G +b110100011100 U +b11011010 f +1$ +#8392 +0$ +#8393 +b11011011 f +b10 R +1$ +#8394 +0$ +#8395 +b11 R +b11011100 f +1$ +#8396 +0$ +#8397 +b11011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8398 +0$ +#8399 +b0 R +0% +0M +b110100100000 D +b11011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8400 +0$ +#8401 +b11011111 f +b1 R +b110100100100 E +b110100100000 - +b110100100000 5 +b110100100000 G +b110100100000 U +1$ +#8402 +0$ +#8403 +b10 R +b11100000 f +1$ +#8404 +0$ +#8405 +b11100001 f +b11 R +1$ +#8406 +0$ +#8407 +b100 R +b11100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8408 +0$ +#8409 +b11100011 f +b0 R +0% +0M +b110100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8410 +0$ +#8411 +b1 R +b110100101000 E +b110100100100 - +b110100100100 5 +b110100100100 G +b110100100100 U +b11100100 f +1$ +#8412 +0$ +#8413 +b11100101 f +b10 R +1$ +#8414 +0$ +#8415 +b11 R +b11100110 f +1$ +#8416 +0$ +#8417 +b11100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8418 +0$ +#8419 +b0 R +0% +0M +b110100101000 D +b11101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8420 +0$ +#8421 +b11101001 f +b1 R +b110100101100 E +b110100101000 - +b110100101000 5 +b110100101000 G +b110100101000 U +1$ +#8422 +0$ +#8423 +b10 R +1] +b0 f +1$ +#8424 +0$ +#8425 +0] +b1 f +b100 k +b11 R +1$ +#8426 +0$ +#8427 +b100 R +b10 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8428 +0$ +#8429 +b11 f +b0 R +0% +0M +b110100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8430 +0$ +#8431 +b1 R +b110100110000 E +b110100101100 - +b110100101100 5 +b110100101100 G +b110100101100 U +b100 f +1$ +#8432 +0$ +#8433 +b101 f +b10 R +1$ +#8434 +0$ +#8435 +b11 R +b110 f +1$ +#8436 +0$ +#8437 +b111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8438 +0$ +#8439 +b0 R +0% +0M +b110100110000 D +b1000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8440 +0$ +#8441 +b1001 f +b1 R +b110100110100 E +b110100110000 - +b110100110000 5 +b110100110000 G +b110100110000 U +1$ +#8442 +0$ +#8443 +b10 R +b1010 f +1$ +#8444 +0$ +#8445 +b1011 f +b11 R +1$ +#8446 +0$ +#8447 +b100 R +b1100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8448 +0$ +#8449 +b1101 f +b0 R +0% +0M +b110100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8450 +0$ +#8451 +b1 R +b110100111000 E +b110100110100 - +b110100110100 5 +b110100110100 G +b110100110100 U +b1110 f +1$ +#8452 +0$ +#8453 +b1111 f +b10 R +1$ +#8454 +0$ +#8455 +b11 R +b10000 f +1$ +#8456 +0$ +#8457 +b10001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8458 +0$ +#8459 +b0 R +0% +0M +b110100111000 D +b10010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8460 +0$ +#8461 +b10011 f +b1 R +b110100111100 E +b110100111000 - +b110100111000 5 +b110100111000 G +b110100111000 U +1$ +#8462 +0$ +#8463 +b10 R +b10100 f +1$ +#8464 +0$ +#8465 +b10101 f +b11 R +1$ +#8466 +0$ +#8467 +b100 R +b10110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8468 +0$ +#8469 +b10111 f +b0 R +0% +0M +b110100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8470 +0$ +#8471 +b1 R +b110101000000 E +b110100111100 - +b110100111100 5 +b110100111100 G +b110100111100 U +b11000 f +1$ +#8472 +0$ +#8473 +b11001 f +b10 R +1$ +#8474 +0$ +#8475 +b11 R +b11010 f +1$ +#8476 +0$ +#8477 +b11011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8478 +0$ +#8479 +b0 R +0% +0M +b110101000000 D +b11100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8480 +0$ +#8481 +b11101 f +b1 R +b110101000100 E +b110101000000 - +b110101000000 5 +b110101000000 G +b110101000000 U +1$ +#8482 +0$ +#8483 +b10 R +b11110 f +1$ +#8484 +0$ +#8485 +b11111 f +b11 R +1$ +#8486 +0$ +#8487 +b100 R +b100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8488 +0$ +#8489 +b100001 f +b0 R +0% +0M +b110101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8490 +0$ +#8491 +b1 R +b110101001000 E +b110101000100 - +b110101000100 5 +b110101000100 G +b110101000100 U +b100010 f +1$ +#8492 +0$ +#8493 +b100011 f +b10 R +1$ +#8494 +0$ +#8495 +b11 R +b100100 f +1$ +#8496 +0$ +#8497 +b100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8498 +0$ +#8499 +b0 R +0% +0M +b110101001000 D +b100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8500 +0$ +#8501 +b100111 f +b1 R +b110101001100 E +b110101001000 - +b110101001000 5 +b110101001000 G +b110101001000 U +1$ +#8502 +0$ +#8503 +b10 R +b101000 f +1$ +#8504 +0$ +#8505 +b101001 f +b11 R +1$ +#8506 +0$ +#8507 +b100 R +b101010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8508 +0$ +#8509 +b101011 f +b0 R +0% +0M +b110101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8510 +0$ +#8511 +b1 R +b110101010000 E +b110101001100 - +b110101001100 5 +b110101001100 G +b110101001100 U +b101100 f +1$ +#8512 +0$ +#8513 +b101101 f +b10 R +1$ +#8514 +0$ +#8515 +b11 R +b101110 f +1$ +#8516 +0$ +#8517 +b101111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8518 +0$ +#8519 +b0 R +0% +0M +b110101010000 D +b110000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8520 +0$ +#8521 +b110001 f +b1 R +b110101010100 E +b110101010000 - +b110101010000 5 +b110101010000 G +b110101010000 U +1$ +#8522 +0$ +#8523 +b10 R +b110010 f +1$ +#8524 +0$ +#8525 +b110011 f +b11 R +1$ +#8526 +0$ +#8527 +b100 R +b110100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8528 +0$ +#8529 +b110101 f +b0 R +0% +0M +b110101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8530 +0$ +#8531 +b1 R +b110101011000 E +b110101010100 - +b110101010100 5 +b110101010100 G +b110101010100 U +b110110 f +1$ +#8532 +0$ +#8533 +b110111 f +b10 R +1$ +#8534 +0$ +#8535 +b11 R +b111000 f +1$ +#8536 +0$ +#8537 +b111001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8538 +0$ +#8539 +b0 R +0% +0M +b110101011000 D +b111010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8540 +0$ +#8541 +b111011 f +b1 R +b110101011100 E +b110101011000 - +b110101011000 5 +b110101011000 G +b110101011000 U +1$ +#8542 +0$ +#8543 +b10 R +b111100 f +1$ +#8544 +0$ +#8545 +b111101 f +b11 R +1$ +#8546 +0$ +#8547 +b100 R +b111110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8548 +0$ +#8549 +b111111 f +b0 R +0% +0M +b110101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8550 +0$ +#8551 +b1 R +b110101100000 E +b110101011100 - +b110101011100 5 +b110101011100 G +b110101011100 U +b1000000 f +1$ +#8552 +0$ +#8553 +b1000001 f +b10 R +1$ +#8554 +0$ +#8555 +b11 R +b1000010 f +1$ +#8556 +0$ +#8557 +b1000011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8558 +0$ +#8559 +b0 R +0% +0M +b110101100000 D +b1000100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8560 +0$ +#8561 +b1000101 f +b1 R +b110101100100 E +b110101100000 - +b110101100000 5 +b110101100000 G +b110101100000 U +1$ +#8562 +0$ +#8563 +b10 R +b1000110 f +1$ +#8564 +0$ +#8565 +b1000111 f +b11 R +1$ +#8566 +0$ +#8567 +b100 R +b1001000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8568 +0$ +#8569 +b1001001 f +b0 R +0% +0M +b110101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8570 +0$ +#8571 +b1 R +b110101101000 E +b110101100100 - +b110101100100 5 +b110101100100 G +b110101100100 U +b1001010 f +1$ +#8572 +0$ +#8573 +b1001011 f +b10 R +1$ +#8574 +0$ +#8575 +b11 R +b1001100 f +1$ +#8576 +0$ +#8577 +b1001101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8578 +0$ +#8579 +b0 R +0% +0M +b110101101000 D +b1001110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8580 +0$ +#8581 +b1001111 f +b1 R +b110101101100 E +b110101101000 - +b110101101000 5 +b110101101000 G +b110101101000 U +1$ +#8582 +0$ +#8583 +b10 R +b1010000 f +1$ +#8584 +0$ +#8585 +b1010001 f +b11 R +1$ +#8586 +0$ +#8587 +b100 R +b1010010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8588 +0$ +#8589 +b1010011 f +b0 R +0% +0M +b110101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8590 +0$ +#8591 +b1 R +b110101110000 E +b110101101100 - +b110101101100 5 +b110101101100 G +b110101101100 U +b1010100 f +1$ +#8592 +0$ +#8593 +b1010101 f +b10 R +1$ +#8594 +0$ +#8595 +b11 R +b1010110 f +1$ +#8596 +0$ +#8597 +b1010111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8598 +0$ +#8599 +b0 R +0% +0M +b110101110000 D +b1011000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8600 +0$ +#8601 +b1011001 f +b1 R +b110101110100 E +b110101110000 - +b110101110000 5 +b110101110000 G +b110101110000 U +1$ +#8602 +0$ +#8603 +b10 R +b1011010 f +1$ +#8604 +0$ +#8605 +b1011011 f +b11 R +1$ +#8606 +0$ +#8607 +b100 R +b1011100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8608 +0$ +#8609 +b1011101 f +b0 R +0% +0M +b110101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8610 +0$ +#8611 +b1 R +b110101111000 E +b110101110100 - +b110101110100 5 +b110101110100 G +b110101110100 U +b1011110 f +1$ +#8612 +0$ +#8613 +b1011111 f +b10 R +1$ +#8614 +0$ +#8615 +b11 R +b1100000 f +1$ +#8616 +0$ +#8617 +b1100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8618 +0$ +#8619 +b0 R +0% +0M +b110101111000 D +b1100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8620 +0$ +#8621 +b1100011 f +b1 R +b110101111100 E +b110101111000 - +b110101111000 5 +b110101111000 G +b110101111000 U +1$ +#8622 +0$ +#8623 +b10 R +b1100100 f +1$ +#8624 +0$ +#8625 +b1100101 f +b11 R +1$ +#8626 +0$ +#8627 +b100 R +b1100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8628 +0$ +#8629 +b1100111 f +b0 R +0% +0M +b110101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8630 +0$ +#8631 +b1 R +b110110000000 E +b110101111100 - +b110101111100 5 +b110101111100 G +b110101111100 U +b1101000 f +1$ +#8632 +0$ +#8633 +b1101001 f +b10 R +1$ +#8634 +0$ +#8635 +b11 R +b1101010 f +1$ +#8636 +0$ +#8637 +b1101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8638 +0$ +#8639 +b0 R +0% +0M +b110110000000 D +b1101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8640 +0$ +#8641 +b1101101 f +b1 R +b110110000100 E +b110110000000 - +b110110000000 5 +b110110000000 G +b110110000000 U +1$ +#8642 +0$ +#8643 +b10 R +b1101110 f +1$ +#8644 +0$ +#8645 +b1101111 f +b11 R +1$ +#8646 +0$ +#8647 +b100 R +b1110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8648 +0$ +#8649 +b1110001 f +b0 R +0% +0M +b110110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8650 +0$ +#8651 +b1 R +b110110001000 E +b110110000100 - +b110110000100 5 +b110110000100 G +b110110000100 U +b1110010 f +1$ +#8652 +0$ +#8653 +b1110011 f +b10 R +1$ +#8654 +0$ +#8655 +b11 R +b1110100 f +1$ +#8656 +0$ +#8657 +b1110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8658 +0$ +#8659 +b0 R +0% +0M +b110110001000 D +b1110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8660 +0$ +#8661 +b1110111 f +b1 R +b110110001100 E +b110110001000 - +b110110001000 5 +b110110001000 G +b110110001000 U +1$ +#8662 +0$ +#8663 +b10 R +b1111000 f +1$ +#8664 +0$ +#8665 +b1111001 f +b11 R +1$ +#8666 +0$ +#8667 +b100 R +b1111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8668 +0$ +#8669 +b1111011 f +b0 R +0% +0M +b110110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8670 +0$ +#8671 +b1 R +b110110010000 E +b110110001100 - +b110110001100 5 +b110110001100 G +b110110001100 U +b1111100 f +1$ +#8672 +0$ +#8673 +b1111101 f +b10 R +1$ +#8674 +0$ +#8675 +b11 R +b1111110 f +1$ +#8676 +0$ +#8677 +b1111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8678 +0$ +#8679 +b0 R +0% +0M +b110110010000 D +b10000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8680 +0$ +#8681 +b10000001 f +b1 R +b110110010100 E +b110110010000 - +b110110010000 5 +b110110010000 G +b110110010000 U +1$ +#8682 +0$ +#8683 +b10 R +b10000010 f +1$ +#8684 +0$ +#8685 +b10000011 f +b11 R +1$ +#8686 +0$ +#8687 +b100 R +b10000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8688 +0$ +#8689 +b10000101 f +b0 R +0% +0M +b110110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8690 +0$ +#8691 +b1 R +b110110011000 E +b110110010100 - +b110110010100 5 +b110110010100 G +b110110010100 U +b10000110 f +1$ +#8692 +0$ +#8693 +b10000111 f +b10 R +1$ +#8694 +0$ +#8695 +b11 R +b10001000 f +1$ +#8696 +0$ +#8697 +b10001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8698 +0$ +#8699 +b0 R +0% +0M +b110110011000 D +b10001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8700 +0$ +#8701 +b10001011 f +b1 R +b110110011100 E +b110110011000 - +b110110011000 5 +b110110011000 G +b110110011000 U +1$ +#8702 +0$ +#8703 +b10 R +b10001100 f +1$ +#8704 +0$ +#8705 +b10001101 f +b11 R +1$ +#8706 +0$ +#8707 +b100 R +b10001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8708 +0$ +#8709 +b10001111 f +b0 R +0% +0M +b110110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8710 +0$ +#8711 +b1 R +b110110100000 E +b110110011100 - +b110110011100 5 +b110110011100 G +b110110011100 U +b10010000 f +1$ +#8712 +0$ +#8713 +b10010001 f +b10 R +1$ +#8714 +0$ +#8715 +b11 R +b10010010 f +1$ +#8716 +0$ +#8717 +b10010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8718 +0$ +#8719 +b0 R +0% +0M +b110110100000 D +b10010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8720 +0$ +#8721 +b10010101 f +b1 R +b110110100100 E +b110110100000 - +b110110100000 5 +b110110100000 G +b110110100000 U +1$ +#8722 +0$ +#8723 +b10 R +b10010110 f +1$ +#8724 +0$ +#8725 +b10010111 f +b11 R +1$ +#8726 +0$ +#8727 +b100 R +b10011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8728 +0$ +#8729 +b10011001 f +b0 R +0% +0M +b110110100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8730 +0$ +#8731 +b1 R +b110110101000 E +b110110100100 - +b110110100100 5 +b110110100100 G +b110110100100 U +b10011010 f +1$ +#8732 +0$ +#8733 +b10011011 f +b10 R +1$ +#8734 +0$ +#8735 +b11 R +b10011100 f +1$ +#8736 +0$ +#8737 +b10011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8738 +0$ +#8739 +b0 R +0% +0M +b110110101000 D +b10011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8740 +0$ +#8741 +b10011111 f +b1 R +b110110101100 E +b110110101000 - +b110110101000 5 +b110110101000 G +b110110101000 U +1$ +#8742 +0$ +#8743 +b10 R +b10100000 f +1$ +#8744 +0$ +#8745 +b10100001 f +b11 R +1$ +#8746 +0$ +#8747 +b100 R +b10100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8748 +0$ +#8749 +b10100011 f +b0 R +0% +0M +b110110101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8750 +0$ +#8751 +b1 R +b110110110000 E +b110110101100 - +b110110101100 5 +b110110101100 G +b110110101100 U +b10100100 f +1$ +#8752 +0$ +#8753 +b10100101 f +b10 R +1$ +#8754 +0$ +#8755 +b11 R +b10100110 f +1$ +#8756 +0$ +#8757 +b10100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8758 +0$ +#8759 +b0 R +0% +0M +b110110110000 D +b10101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8760 +0$ +#8761 +b10101001 f +b1 R +b110110110100 E +b110110110000 - +b110110110000 5 +b110110110000 G +b110110110000 U +1$ +#8762 +0$ +#8763 +b10 R +b10101010 f +1$ +#8764 +0$ +#8765 +b10101011 f +b11 R +1$ +#8766 +0$ +#8767 +b100 R +b10101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8768 +0$ +#8769 +b10101101 f +b0 R +0% +0M +b110110110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8770 +0$ +#8771 +b1 R +b110110111000 E +b110110110100 - +b110110110100 5 +b110110110100 G +b110110110100 U +b10101110 f +1$ +#8772 +0$ +#8773 +b10101111 f +b10 R +1$ +#8774 +0$ +#8775 +b11 R +b10110000 f +1$ +#8776 +0$ +#8777 +b10110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8778 +0$ +#8779 +b0 R +0% +0M +b110110111000 D +b10110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8780 +0$ +#8781 +b10110011 f +b1 R +b110110111100 E +b110110111000 - +b110110111000 5 +b110110111000 G +b110110111000 U +1$ +#8782 +0$ +#8783 +b10 R +b10110100 f +1$ +#8784 +0$ +#8785 +b10110101 f +b11 R +1$ +#8786 +0$ +#8787 +b100 R +b10110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8788 +0$ +#8789 +b10110111 f +b0 R +0% +0M +b110110111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8790 +0$ +#8791 +b1 R +b110111000000 E +b110110111100 - +b110110111100 5 +b110110111100 G +b110110111100 U +b10111000 f +1$ +#8792 +0$ +#8793 +b10111001 f +b10 R +1$ +#8794 +0$ +#8795 +b11 R +b10111010 f +1$ +#8796 +0$ +#8797 +b10111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8798 +0$ +#8799 +b0 R +0% +0M +b110111000000 D +b10111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8800 +0$ +#8801 +b10111101 f +b1 R +b110111000100 E +b110111000000 - +b110111000000 5 +b110111000000 G +b110111000000 U +1$ +#8802 +0$ +#8803 +b10 R +b10111110 f +1$ +#8804 +0$ +#8805 +b10111111 f +b11 R +1$ +#8806 +0$ +#8807 +b100 R +b11000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8808 +0$ +#8809 +b11000001 f +b0 R +0% +0M +b110111000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8810 +0$ +#8811 +b1 R +b110111001000 E +b110111000100 - +b110111000100 5 +b110111000100 G +b110111000100 U +b11000010 f +1$ +#8812 +0$ +#8813 +b11000011 f +b10 R +1$ +#8814 +0$ +#8815 +b11 R +b11000100 f +1$ +#8816 +0$ +#8817 +b11000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8818 +0$ +#8819 +b0 R +0% +0M +b110111001000 D +b11000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8820 +0$ +#8821 +b11000111 f +b1 R +b110111001100 E +b110111001000 - +b110111001000 5 +b110111001000 G +b110111001000 U +1$ +#8822 +0$ +#8823 +b10 R +b11001000 f +1$ +#8824 +0$ +#8825 +b11001001 f +b11 R +1$ +#8826 +0$ +#8827 +b100 R +b11001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8828 +0$ +#8829 +b11001011 f +b0 R +0% +0M +b110111001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8830 +0$ +#8831 +b1 R +b110111010000 E +b110111001100 - +b110111001100 5 +b110111001100 G +b110111001100 U +b11001100 f +1$ +#8832 +0$ +#8833 +b11001101 f +b10 R +1$ +#8834 +0$ +#8835 +b11 R +b11001110 f +1$ +#8836 +0$ +#8837 +b11001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8838 +0$ +#8839 +b0 R +0% +0M +b110111010000 D +b11010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8840 +0$ +#8841 +b11010001 f +b1 R +b110111010100 E +b110111010000 - +b110111010000 5 +b110111010000 G +b110111010000 U +1$ +#8842 +0$ +#8843 +b10 R +b11010010 f +1$ +#8844 +0$ +#8845 +b11010011 f +b11 R +1$ +#8846 +0$ +#8847 +b100 R +b11010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8848 +0$ +#8849 +b11010101 f +b0 R +0% +0M +b110111010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8850 +0$ +#8851 +b1 R +b110111011000 E +b110111010100 - +b110111010100 5 +b110111010100 G +b110111010100 U +b11010110 f +1$ +#8852 +0$ +#8853 +b11010111 f +b10 R +1$ +#8854 +0$ +#8855 +b11 R +b11011000 f +1$ +#8856 +0$ +#8857 +b11011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8858 +0$ +#8859 +b0 R +0% +0M +b110111011000 D +b11011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8860 +0$ +#8861 +b11011011 f +b1 R +b110111011100 E +b110111011000 - +b110111011000 5 +b110111011000 G +b110111011000 U +1$ +#8862 +0$ +#8863 +b10 R +b11011100 f +1$ +#8864 +0$ +#8865 +b11011101 f +b11 R +1$ +#8866 +0$ +#8867 +b100 R +b11011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8868 +0$ +#8869 +b11011111 f +b0 R +0% +0M +b110111011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8870 +0$ +#8871 +b1 R +b110111100000 E +b110111011100 - +b110111011100 5 +b110111011100 G +b110111011100 U +b11100000 f +1$ +#8872 +0$ +#8873 +b11100001 f +b10 R +1$ +#8874 +0$ +#8875 +b11 R +b11100010 f +1$ +#8876 +0$ +#8877 +b11100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8878 +0$ +#8879 +b0 R +0% +0M +b110111100000 D +b11100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8880 +0$ +#8881 +b11100101 f +b1 R +b110111100100 E +b110111100000 - +b110111100000 5 +b110111100000 G +b110111100000 U +1$ +#8882 +0$ +#8883 +b10 R +b11100110 f +1$ +#8884 +0$ +#8885 +b11100111 f +b11 R +1$ +#8886 +0$ +#8887 +b100 R +b11101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8888 +0$ +#8889 +b11101001 f +b0 R +0% +0M +b110111100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8890 +0$ +#8891 +b1 R +b110111101000 E +b110111100100 - +b110111100100 5 +b110111100100 G +b110111100100 U +1] +b0 f +1$ +#8892 +0$ +#8893 +0] +b1 f +b101 k +b10 R +1$ +#8894 +0$ +#8895 +b11 R +b10 f +1$ +#8896 +0$ +#8897 +b11 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8898 +0$ +#8899 +b0 R +0% +0M +b110111101000 D +b100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8900 +0$ +#8901 +b101 f +b1 R +b110111101100 E +b110111101000 - +b110111101000 5 +b110111101000 G +b110111101000 U +1$ +#8902 +0$ +#8903 +b10 R +b110 f +1$ +#8904 +0$ +#8905 +b111 f +b11 R +1$ +#8906 +0$ +#8907 +b100 R +b1000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8908 +0$ +#8909 +b1001 f +b0 R +0% +0M +b110111101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8910 +0$ +#8911 +b1 R +b110111110000 E +b110111101100 - +b110111101100 5 +b110111101100 G +b110111101100 U +b1010 f +1$ +#8912 +0$ +#8913 +b1011 f +b10 R +1$ +#8914 +0$ +#8915 +b11 R +b1100 f +1$ +#8916 +0$ +#8917 +b1101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8918 +0$ +#8919 +b0 R +0% +0M +b110111110000 D +b1110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8920 +0$ +#8921 +b1111 f +b1 R +b110111110100 E +b110111110000 - +b110111110000 5 +b110111110000 G +b110111110000 U +1$ +#8922 +0$ +#8923 +b10 R +b10000 f +1$ +#8924 +0$ +#8925 +b10001 f +b11 R +1$ +#8926 +0$ +#8927 +b100 R +b10010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8928 +0$ +#8929 +b10011 f +b0 R +0% +0M +b110111110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8930 +0$ +#8931 +b1 R +b110111111000 E +b110111110100 - +b110111110100 5 +b110111110100 G +b110111110100 U +b10100 f +1$ +#8932 +0$ +#8933 +b10101 f +b10 R +1$ +#8934 +0$ +#8935 +b11 R +b10110 f +1$ +#8936 +0$ +#8937 +b10111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8938 +0$ +#8939 +b0 R +0% +0M +b110111111000 D +b11000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8940 +0$ +#8941 +b11001 f +b1 R +b110111111100 E +b110111111000 - +b110111111000 5 +b110111111000 G +b110111111000 U +1$ +#8942 +0$ +#8943 +b10 R +b11010 f +1$ +#8944 +0$ +#8945 +b11011 f +b11 R +1$ +#8946 +0$ +#8947 +b100 R +b11100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8948 +0$ +#8949 +b11101 f +b0 R +0% +0M +b110111111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8950 +0$ +#8951 +b1 R +b111000000000 E +b110111111100 - +b110111111100 5 +b110111111100 G +b110111111100 U +b11110 f +1$ +#8952 +0$ +#8953 +b11111 f +b10 R +1$ +#8954 +0$ +#8955 +b11 R +b100000 f +1$ +#8956 +0$ +#8957 +b100001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8958 +0$ +#8959 +b0 R +0% +0M +b111000000000 D +b100010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8960 +0$ +#8961 +b100011 f +b1 R +b111000000100 E +b111000000000 - +b111000000000 5 +b111000000000 G +b111000000000 U +1$ +#8962 +0$ +#8963 +b10 R +b100100 f +1$ +#8964 +0$ +#8965 +b100101 f +b11 R +1$ +#8966 +0$ +#8967 +b100 R +b100110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8968 +0$ +#8969 +b100111 f +b0 R +0% +0M +b111000000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8970 +0$ +#8971 +b1 R +b111000001000 E +b111000000100 - +b111000000100 5 +b111000000100 G +b111000000100 U +b101000 f +1$ +#8972 +0$ +#8973 +b101001 f +b10 R +1$ +#8974 +0$ +#8975 +b11 R +b101010 f +1$ +#8976 +0$ +#8977 +b101011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8978 +0$ +#8979 +b0 R +0% +0M +b111000001000 D +b101100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8980 +0$ +#8981 +b101101 f +b1 R +b111000001100 E +b111000001000 - +b111000001000 5 +b111000001000 G +b111000001000 U +1$ +#8982 +0$ +#8983 +b10 R +b101110 f +1$ +#8984 +0$ +#8985 +b101111 f +b11 R +1$ +#8986 +0$ +#8987 +b100 R +b110000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8988 +0$ +#8989 +b110001 f +b0 R +0% +0M +b111000001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#8990 +0$ +#8991 +b1 R +b111000010000 E +b111000001100 - +b111000001100 5 +b111000001100 G +b111000001100 U +b110010 f +1$ +#8992 +0$ +#8993 +b110011 f +b10 R +1$ +#8994 +0$ +#8995 +b11 R +b110100 f +1$ +#8996 +0$ +#8997 +b110101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#8998 +0$ +#8999 +b0 R +0% +0M +b111000010000 D +b110110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9000 +0$ +#9001 +b110111 f +b1 R +b111000010100 E +b111000010000 - +b111000010000 5 +b111000010000 G +b111000010000 U +1$ +#9002 +0$ +#9003 +b10 R +b111000 f +1$ +#9004 +0$ +#9005 +b111001 f +b11 R +1$ +#9006 +0$ +#9007 +b100 R +b111010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9008 +0$ +#9009 +b111011 f +b0 R +0% +0M +b111000010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9010 +0$ +#9011 +b1 R +b111000011000 E +b111000010100 - +b111000010100 5 +b111000010100 G +b111000010100 U +b111100 f +1$ +#9012 +0$ +#9013 +b111101 f +b10 R +1$ +#9014 +0$ +#9015 +b11 R +b111110 f +1$ +#9016 +0$ +#9017 +b111111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9018 +0$ +#9019 +b0 R +0% +0M +b111000011000 D +b1000000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9020 +0$ +#9021 +b1000001 f +b1 R +b111000011100 E +b111000011000 - +b111000011000 5 +b111000011000 G +b111000011000 U +1$ +#9022 +0$ +#9023 +b10 R +b1000010 f +1$ +#9024 +0$ +#9025 +b1000011 f +b11 R +1$ +#9026 +0$ +#9027 +b100 R +b1000100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9028 +0$ +#9029 +b1000101 f +b0 R +0% +0M +b111000011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9030 +0$ +#9031 +b1 R +b111000100000 E +b111000011100 - +b111000011100 5 +b111000011100 G +b111000011100 U +b1000110 f +1$ +#9032 +0$ +#9033 +b1000111 f +b10 R +1$ +#9034 +0$ +#9035 +b11 R +b1001000 f +1$ +#9036 +0$ +#9037 +b1001001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9038 +0$ +#9039 +b0 R +0% +0M +b111000100000 D +b1001010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9040 +0$ +#9041 +b1001011 f +b1 R +b111000100100 E +b111000100000 - +b111000100000 5 +b111000100000 G +b111000100000 U +1$ +#9042 +0$ +#9043 +b10 R +b1001100 f +1$ +#9044 +0$ +#9045 +b1001101 f +b11 R +1$ +#9046 +0$ +#9047 +b100 R +b1001110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9048 +0$ +#9049 +b1001111 f +b0 R +0% +0M +b111000100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9050 +0$ +#9051 +b1 R +b111000101000 E +b111000100100 - +b111000100100 5 +b111000100100 G +b111000100100 U +b1010000 f +1$ +#9052 +0$ +#9053 +b1010001 f +b10 R +1$ +#9054 +0$ +#9055 +b11 R +b1010010 f +1$ +#9056 +0$ +#9057 +b1010011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9058 +0$ +#9059 +b0 R +0% +0M +b111000101000 D +b1010100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9060 +0$ +#9061 +b1010101 f +b1 R +b111000101100 E +b111000101000 - +b111000101000 5 +b111000101000 G +b111000101000 U +1$ +#9062 +0$ +#9063 +b10 R +b1010110 f +1$ +#9064 +0$ +#9065 +b1010111 f +b11 R +1$ +#9066 +0$ +#9067 +b100 R +b1011000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9068 +0$ +#9069 +b1011001 f +b0 R +0% +0M +b111000101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9070 +0$ +#9071 +b1 R +b111000110000 E +b111000101100 - +b111000101100 5 +b111000101100 G +b111000101100 U +b1011010 f +1$ +#9072 +0$ +#9073 +b1011011 f +b10 R +1$ +#9074 +0$ +#9075 +b11 R +b1011100 f +1$ +#9076 +0$ +#9077 +b1011101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9078 +0$ +#9079 +b0 R +0% +0M +b111000110000 D +b1011110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9080 +0$ +#9081 +b1011111 f +b1 R +b111000110100 E +b111000110000 - +b111000110000 5 +b111000110000 G +b111000110000 U +1$ +#9082 +0$ +#9083 +b10 R +b1100000 f +1$ +#9084 +0$ +#9085 +b1100001 f +b11 R +1$ +#9086 +0$ +#9087 +b100 R +b1100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9088 +0$ +#9089 +b1100011 f +b0 R +0% +0M +b111000110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9090 +0$ +#9091 +b1 R +b111000111000 E +b111000110100 - +b111000110100 5 +b111000110100 G +b111000110100 U +b1100100 f +1$ +#9092 +0$ +#9093 +b1100101 f +b10 R +1$ +#9094 +0$ +#9095 +b11 R +b1100110 f +1$ +#9096 +0$ +#9097 +b1100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9098 +0$ +#9099 +b0 R +0% +0M +b111000111000 D +b1101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9100 +0$ +#9101 +b1101001 f +b1 R +b111000111100 E +b111000111000 - +b111000111000 5 +b111000111000 G +b111000111000 U +1$ +#9102 +0$ +#9103 +b10 R +b1101010 f +1$ +#9104 +0$ +#9105 +b1101011 f +b11 R +1$ +#9106 +0$ +#9107 +b100 R +b1101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9108 +0$ +#9109 +b1101101 f +b0 R +0% +0M +b111000111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9110 +0$ +#9111 +b1 R +b111001000000 E +b111000111100 - +b111000111100 5 +b111000111100 G +b111000111100 U +b1101110 f +1$ +#9112 +0$ +#9113 +b1101111 f +b10 R +1$ +#9114 +0$ +#9115 +b11 R +b1110000 f +1$ +#9116 +0$ +#9117 +b1110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9118 +0$ +#9119 +b0 R +0% +0M +b111001000000 D +b1110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9120 +0$ +#9121 +b1110011 f +b1 R +b111001000100 E +b111001000000 - +b111001000000 5 +b111001000000 G +b111001000000 U +1$ +#9122 +0$ +#9123 +b10 R +b1110100 f +1$ +#9124 +0$ +#9125 +b1110101 f +b11 R +1$ +#9126 +0$ +#9127 +b100 R +b1110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9128 +0$ +#9129 +b1110111 f +b0 R +0% +0M +b111001000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9130 +0$ +#9131 +b1 R +b111001001000 E +b111001000100 - +b111001000100 5 +b111001000100 G +b111001000100 U +b1111000 f +1$ +#9132 +0$ +#9133 +b1111001 f +b10 R +1$ +#9134 +0$ +#9135 +b11 R +b1111010 f +1$ +#9136 +0$ +#9137 +b1111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9138 +0$ +#9139 +b0 R +0% +0M +b111001001000 D +b1111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9140 +0$ +#9141 +b1111101 f +b1 R +b111001001100 E +b111001001000 - +b111001001000 5 +b111001001000 G +b111001001000 U +1$ +#9142 +0$ +#9143 +b10 R +b1111110 f +1$ +#9144 +0$ +#9145 +b1111111 f +b11 R +1$ +#9146 +0$ +#9147 +b100 R +b10000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9148 +0$ +#9149 +b10000001 f +b0 R +0% +0M +b111001001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9150 +0$ +#9151 +b1 R +b111001010000 E +b111001001100 - +b111001001100 5 +b111001001100 G +b111001001100 U +b10000010 f +1$ +#9152 +0$ +#9153 +b10000011 f +b10 R +1$ +#9154 +0$ +#9155 +b11 R +b10000100 f +1$ +#9156 +0$ +#9157 +b10000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9158 +0$ +#9159 +b0 R +0% +0M +b111001010000 D +b10000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9160 +0$ +#9161 +b10000111 f +b1 R +b111001010100 E +b111001010000 - +b111001010000 5 +b111001010000 G +b111001010000 U +1$ +#9162 +0$ +#9163 +b10 R +b10001000 f +1$ +#9164 +0$ +#9165 +b10001001 f +b11 R +1$ +#9166 +0$ +#9167 +b100 R +b10001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9168 +0$ +#9169 +b10001011 f +b0 R +0% +0M +b111001010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9170 +0$ +#9171 +b1 R +b111001011000 E +b111001010100 - +b111001010100 5 +b111001010100 G +b111001010100 U +b10001100 f +1$ +#9172 +0$ +#9173 +b10001101 f +b10 R +1$ +#9174 +0$ +#9175 +b11 R +b10001110 f +1$ +#9176 +0$ +#9177 +b10001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9178 +0$ +#9179 +b0 R +0% +0M +b111001011000 D +b10010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9180 +0$ +#9181 +b10010001 f +b1 R +b111001011100 E +b111001011000 - +b111001011000 5 +b111001011000 G +b111001011000 U +1$ +#9182 +0$ +#9183 +b10 R +b10010010 f +1$ +#9184 +0$ +#9185 +b10010011 f +b11 R +1$ +#9186 +0$ +#9187 +b100 R +b10010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9188 +0$ +#9189 +b10010101 f +b0 R +0% +0M +b111001011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9190 +0$ +#9191 +b1 R +b111001100000 E +b111001011100 - +b111001011100 5 +b111001011100 G +b111001011100 U +b10010110 f +1$ +#9192 +0$ +#9193 +b10010111 f +b10 R +1$ +#9194 +0$ +#9195 +b11 R +b10011000 f +1$ +#9196 +0$ +#9197 +b10011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9198 +0$ +#9199 +b0 R +0% +0M +b111001100000 D +b10011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9200 +0$ +#9201 +b10011011 f +b1 R +b111001100100 E +b111001100000 - +b111001100000 5 +b111001100000 G +b111001100000 U +1$ +#9202 +0$ +#9203 +b10 R +b10011100 f +1$ +#9204 +0$ +#9205 +b10011101 f +b11 R +1$ +#9206 +0$ +#9207 +b100 R +b10011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9208 +0$ +#9209 +b10011111 f +b0 R +0% +0M +b111001100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9210 +0$ +#9211 +b1 R +b111001101000 E +b111001100100 - +b111001100100 5 +b111001100100 G +b111001100100 U +b10100000 f +1$ +#9212 +0$ +#9213 +b10100001 f +b10 R +1$ +#9214 +0$ +#9215 +b11 R +b10100010 f +1$ +#9216 +0$ +#9217 +b10100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9218 +0$ +#9219 +b0 R +0% +0M +b111001101000 D +b10100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9220 +0$ +#9221 +b10100101 f +b1 R +b111001101100 E +b111001101000 - +b111001101000 5 +b111001101000 G +b111001101000 U +1$ +#9222 +0$ +#9223 +b10 R +b10100110 f +1$ +#9224 +0$ +#9225 +b10100111 f +b11 R +1$ +#9226 +0$ +#9227 +b100 R +b10101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9228 +0$ +#9229 +b10101001 f +b0 R +0% +0M +b111001101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9230 +0$ +#9231 +b1 R +b111001110000 E +b111001101100 - +b111001101100 5 +b111001101100 G +b111001101100 U +b10101010 f +1$ +#9232 +0$ +#9233 +b10101011 f +b10 R +1$ +#9234 +0$ +#9235 +b11 R +b10101100 f +1$ +#9236 +0$ +#9237 +b10101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9238 +0$ +#9239 +b0 R +0% +0M +b111001110000 D +b10101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9240 +0$ +#9241 +b10101111 f +b1 R +b111001110100 E +b111001110000 - +b111001110000 5 +b111001110000 G +b111001110000 U +1$ +#9242 +0$ +#9243 +b10 R +b10110000 f +1$ +#9244 +0$ +#9245 +b10110001 f +b11 R +1$ +#9246 +0$ +#9247 +b100 R +b10110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9248 +0$ +#9249 +b10110011 f +b0 R +0% +0M +b111001110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9250 +0$ +#9251 +b1 R +b111001111000 E +b111001110100 - +b111001110100 5 +b111001110100 G +b111001110100 U +b10110100 f +1$ +#9252 +0$ +#9253 +b10110101 f +b10 R +1$ +#9254 +0$ +#9255 +b11 R +b10110110 f +1$ +#9256 +0$ +#9257 +b10110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9258 +0$ +#9259 +b0 R +0% +0M +b111001111000 D +b10111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9260 +0$ +#9261 +b10111001 f +b1 R +b111001111100 E +b111001111000 - +b111001111000 5 +b111001111000 G +b111001111000 U +1$ +#9262 +0$ +#9263 +b10 R +b10111010 f +1$ +#9264 +0$ +#9265 +b10111011 f +b11 R +1$ +#9266 +0$ +#9267 +b100 R +b10111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9268 +0$ +#9269 +b10111101 f +b0 R +0% +0M +b111001111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9270 +0$ +#9271 +b1 R +b111010000000 E +b111001111100 - +b111001111100 5 +b111001111100 G +b111001111100 U +b10111110 f +1$ +#9272 +0$ +#9273 +b10111111 f +b10 R +1$ +#9274 +0$ +#9275 +b11 R +b11000000 f +1$ +#9276 +0$ +#9277 +b11000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9278 +0$ +#9279 +b0 R +0% +0M +b111010000000 D +b11000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9280 +0$ +#9281 +b11000011 f +b1 R +b111010000100 E +b111010000000 - +b111010000000 5 +b111010000000 G +b111010000000 U +1$ +#9282 +0$ +#9283 +b10 R +b11000100 f +1$ +#9284 +0$ +#9285 +b11000101 f +b11 R +1$ +#9286 +0$ +#9287 +b100 R +b11000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9288 +0$ +#9289 +b11000111 f +b0 R +0% +0M +b111010000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9290 +0$ +#9291 +b1 R +b111010001000 E +b111010000100 - +b111010000100 5 +b111010000100 G +b111010000100 U +b11001000 f +1$ +#9292 +0$ +#9293 +b11001001 f +b10 R +1$ +#9294 +0$ +#9295 +b11 R +b11001010 f +1$ +#9296 +0$ +#9297 +b11001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9298 +0$ +#9299 +b0 R +0% +0M +b111010001000 D +b11001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9300 +0$ +#9301 +b11001101 f +b1 R +b111010001100 E +b111010001000 - +b111010001000 5 +b111010001000 G +b111010001000 U +1$ +#9302 +0$ +#9303 +b10 R +b11001110 f +1$ +#9304 +0$ +#9305 +b11001111 f +b11 R +1$ +#9306 +0$ +#9307 +b100 R +b11010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9308 +0$ +#9309 +b11010001 f +b0 R +0% +0M +b111010001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9310 +0$ +#9311 +b1 R +b111010010000 E +b111010001100 - +b111010001100 5 +b111010001100 G +b111010001100 U +b11010010 f +1$ +#9312 +0$ +#9313 +b11010011 f +b10 R +1$ +#9314 +0$ +#9315 +b11 R +b11010100 f +1$ +#9316 +0$ +#9317 +b11010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9318 +0$ +#9319 +b0 R +0% +0M +b111010010000 D +b11010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9320 +0$ +#9321 +b11010111 f +b1 R +b111010010100 E +b111010010000 - +b111010010000 5 +b111010010000 G +b111010010000 U +1$ +#9322 +0$ +#9323 +b10 R +b11011000 f +1$ +#9324 +0$ +#9325 +b11011001 f +b11 R +1$ +#9326 +0$ +#9327 +b100 R +b11011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9328 +0$ +#9329 +b11011011 f +b0 R +0% +0M +b111010010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9330 +0$ +#9331 +b1 R +b111010011000 E +b111010010100 - +b111010010100 5 +b111010010100 G +b111010010100 U +b11011100 f +1$ +#9332 +0$ +#9333 +b11011101 f +b10 R +1$ +#9334 +0$ +#9335 +b11 R +b11011110 f +1$ +#9336 +0$ +#9337 +b11011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9338 +0$ +#9339 +b0 R +0% +0M +b111010011000 D +b11100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9340 +0$ +#9341 +b11100001 f +b1 R +b111010011100 E +b111010011000 - +b111010011000 5 +b111010011000 G +b111010011000 U +1$ +#9342 +0$ +#9343 +b10 R +b11100010 f +1$ +#9344 +0$ +#9345 +b11100011 f +b11 R +1$ +#9346 +0$ +#9347 +b100 R +b11100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9348 +0$ +#9349 +b11100101 f +b0 R +0% +0M +b111010011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9350 +0$ +#9351 +b1 R +b111010100000 E +b111010011100 - +b111010011100 5 +b111010011100 G +b111010011100 U +b11100110 f +1$ +#9352 +0$ +#9353 +b11100111 f +b10 R +1$ +#9354 +0$ +#9355 +b11 R +b11101000 f +1$ +#9356 +0$ +#9357 +b11101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9358 +0$ +#9359 +b0 R +0% +0M +b111010100000 D +1] +b0 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9360 +0$ +#9361 +0] +b1 f +b110 k +b1 R +b111010100100 E +b111010100000 - +b111010100000 5 +b111010100000 G +b111010100000 U +1$ +#9362 +0$ +#9363 +b10 R +1! +1m +b10 f +1$ +#9364 +0$ +#9365 +b11 f +b11 R +1$ +#9366 +0$ +#9367 +b100 R +b100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9368 +0$ +#9369 +b101 f +b0 R +0% +0M +b111010100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9370 +0$ +#9371 +b1 R +b111010101000 E +b111010100100 - +b111010100100 5 +b111010100100 G +b111010100100 U +b110 f +1$ +#9372 +0$ +#9373 +b111 f +b10 R +1$ +#9374 +0$ +#9375 +b11 R +b1000 f +1$ +#9376 +0$ +#9377 +b1001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9378 +0$ +#9379 +b0 R +0% +0M +b111010101000 D +b1010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9380 +0$ +#9381 +b1011 f +b1 R +b111010101100 E +b111010101000 - +b111010101000 5 +b111010101000 G +b111010101000 U +1$ +#9382 +0$ +#9383 +b10 R +b1100 f +1$ +#9384 +0$ +#9385 +b1101 f +b11 R +1$ +#9386 +0$ +#9387 +b100 R +b1110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9388 +0$ +#9389 +b1111 f +b0 R +0% +0M +b111010101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9390 +0$ +#9391 +b1 R +b111010110000 E +b111010101100 - +b111010101100 5 +b111010101100 G +b111010101100 U +b10000 f +1$ +#9392 +0$ +#9393 +b10001 f +b10 R +1$ +#9394 +0$ +#9395 +b11 R +b10010 f +1$ +#9396 +0$ +#9397 +b10011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9398 +0$ +#9399 +b0 R +0% +0M +b111010110000 D +b10100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9400 +0$ +#9401 +b10101 f +b1 R +b111010110100 E +b111010110000 - +b111010110000 5 +b111010110000 G +b111010110000 U +1$ +#9402 +0$ +#9403 +b10 R +b10110 f +1$ +#9404 +0$ +#9405 +b10111 f +b11 R +1$ +#9406 +0$ +#9407 +b100 R +b11000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9408 +0$ +#9409 +b11001 f +b0 R +0% +0M +b111010110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9410 +0$ +#9411 +b1 R +b111010111000 E +b111010110100 - +b111010110100 5 +b111010110100 G +b111010110100 U +b11010 f +1$ +#9412 +0$ +#9413 +b11011 f +b10 R +1$ +#9414 +0$ +#9415 +b11 R +b11100 f +1$ +#9416 +0$ +#9417 +b11101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9418 +0$ +#9419 +b0 R +0% +0M +b111010111000 D +b11110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9420 +0$ +#9421 +b11111 f +b1 R +b111010111100 E +b111010111000 - +b111010111000 5 +b111010111000 G +b111010111000 U +1$ +#9422 +0$ +#9423 +b10 R +b100000 f +1$ +#9424 +0$ +#9425 +b100001 f +b11 R +1$ +#9426 +0$ +#9427 +b100 R +b100010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9428 +0$ +#9429 +b100011 f +b0 R +0% +0M +b111010111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9430 +0$ +#9431 +b1 R +b111011000000 E +b111010111100 - +b111010111100 5 +b111010111100 G +b111010111100 U +b100100 f +1$ +#9432 +0$ +#9433 +b100101 f +b10 R +1$ +#9434 +0$ +#9435 +b11 R +b100110 f +1$ +#9436 +0$ +#9437 +b100111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9438 +0$ +#9439 +b0 R +0% +0M +b111011000000 D +b101000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9440 +0$ +#9441 +b101001 f +b1 R +b111011000100 E +b111011000000 - +b111011000000 5 +b111011000000 G +b111011000000 U +1$ +#9442 +0$ +#9443 +b10 R +b101010 f +1$ +#9444 +0$ +#9445 +b101011 f +b11 R +1$ +#9446 +0$ +#9447 +b100 R +b101100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9448 +0$ +#9449 +b101101 f +b0 R +0% +0M +b111011000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9450 +0$ +#9451 +b1 R +b111011001000 E +b111011000100 - +b111011000100 5 +b111011000100 G +b111011000100 U +b101110 f +1$ +#9452 +0$ +#9453 +b101111 f +b10 R +1$ +#9454 +0$ +#9455 +b11 R +b110000 f +1$ +#9456 +0$ +#9457 +b110001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9458 +0$ +#9459 +b0 R +0% +0M +b111011001000 D +b110010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9460 +0$ +#9461 +b110011 f +b1 R +b111011001100 E +b111011001000 - +b111011001000 5 +b111011001000 G +b111011001000 U +1$ +#9462 +0$ +#9463 +b10 R +b110100 f +1$ +#9464 +0$ +#9465 +b110101 f +b11 R +1$ +#9466 +0$ +#9467 +b100 R +b110110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9468 +0$ +#9469 +b110111 f +b0 R +0% +0M +b111011001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9470 +0$ +#9471 +b1 R +b111011010000 E +b111011001100 - +b111011001100 5 +b111011001100 G +b111011001100 U +b111000 f +1$ +#9472 +0$ +#9473 +b111001 f +b10 R +1$ +#9474 +0$ +#9475 +b11 R +b111010 f +1$ +#9476 +0$ +#9477 +b111011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9478 +0$ +#9479 +b0 R +0% +0M +b111011010000 D +b111100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9480 +0$ +#9481 +b111101 f +b1 R +b111011010100 E +b111011010000 - +b111011010000 5 +b111011010000 G +b111011010000 U +1$ +#9482 +0$ +#9483 +b10 R +b111110 f +1$ +#9484 +0$ +#9485 +b111111 f +b11 R +1$ +#9486 +0$ +#9487 +b100 R +b1000000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9488 +0$ +#9489 +b1000001 f +b0 R +0% +0M +b111011010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9490 +0$ +#9491 +b1 R +b111011011000 E +b111011010100 - +b111011010100 5 +b111011010100 G +b111011010100 U +b1000010 f +1$ +#9492 +0$ +#9493 +b1000011 f +b10 R +1$ +#9494 +0$ +#9495 +b11 R +b1000100 f +1$ +#9496 +0$ +#9497 +b1000101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9498 +0$ +#9499 +b0 R +0% +0M +b111011011000 D +b1000110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9500 +0$ +#9501 +b1000111 f +b1 R +b111011011100 E +b111011011000 - +b111011011000 5 +b111011011000 G +b111011011000 U +1$ +#9502 +0$ +#9503 +b10 R +b1001000 f +1$ +#9504 +0$ +#9505 +b1001001 f +b11 R +1$ +#9506 +0$ +#9507 +b100 R +b1001010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9508 +0$ +#9509 +b1001011 f +b0 R +0% +0M +b111011011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9510 +0$ +#9511 +b1 R +b111011100000 E +b111011011100 - +b111011011100 5 +b111011011100 G +b111011011100 U +b1001100 f +1$ +#9512 +0$ +#9513 +b1001101 f +b10 R +1$ +#9514 +0$ +#9515 +b11 R +b1001110 f +1$ +#9516 +0$ +#9517 +b1001111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9518 +0$ +#9519 +b0 R +0% +0M +b111011100000 D +b1010000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9520 +0$ +#9521 +b1010001 f +b1 R +b111011100100 E +b111011100000 - +b111011100000 5 +b111011100000 G +b111011100000 U +1$ +#9522 +0$ +#9523 +b10 R +b1010010 f +1$ +#9524 +0$ +#9525 +b1010011 f +b11 R +1$ +#9526 +0$ +#9527 +b100 R +b1010100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9528 +0$ +#9529 +b1010101 f +b0 R +0% +0M +b111011100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9530 +0$ +#9531 +b1 R +b111011101000 E +b111011100100 - +b111011100100 5 +b111011100100 G +b111011100100 U +b1010110 f +1$ +#9532 +0$ +#9533 +b1010111 f +b10 R +1$ +#9534 +0$ +#9535 +b11 R +b1011000 f +1$ +#9536 +0$ +#9537 +b1011001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9538 +0$ +#9539 +b0 R +0% +0M +b111011101000 D +b1011010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9540 +0$ +#9541 +b1011011 f +b1 R +b111011101100 E +b111011101000 - +b111011101000 5 +b111011101000 G +b111011101000 U +1$ +#9542 +0$ +#9543 +b10 R +b1011100 f +1$ +#9544 +0$ +#9545 +b1011101 f +b11 R +1$ +#9546 +0$ +#9547 +b100 R +b1011110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9548 +0$ +#9549 +b1011111 f +b0 R +0% +0M +b111011101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9550 +0$ +#9551 +b1 R +b111011110000 E +b111011101100 - +b111011101100 5 +b111011101100 G +b111011101100 U +b1100000 f +1$ +#9552 +0$ +#9553 +b1100001 f +b10 R +1$ +#9554 +0$ +#9555 +b11 R +b1100010 f +1$ +#9556 +0$ +#9557 +b1100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9558 +0$ +#9559 +b0 R +0% +0M +b111011110000 D +b1100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9560 +0$ +#9561 +b1100101 f +b1 R +b111011110100 E +b111011110000 - +b111011110000 5 +b111011110000 G +b111011110000 U +1$ +#9562 +0$ +#9563 +b10 R +b1100110 f +1$ +#9564 +0$ +#9565 +b1100111 f +b11 R +1$ +#9566 +0$ +#9567 +b100 R +b1101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9568 +0$ +#9569 +b1101001 f +b0 R +0% +0M +b111011110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9570 +0$ +#9571 +b1 R +b111011111000 E +b111011110100 - +b111011110100 5 +b111011110100 G +b111011110100 U +b1101010 f +1$ +#9572 +0$ +#9573 +b1101011 f +b10 R +1$ +#9574 +0$ +#9575 +b11 R +b1101100 f +1$ +#9576 +0$ +#9577 +b1101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9578 +0$ +#9579 +b0 R +0% +0M +b111011111000 D +b1101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9580 +0$ +#9581 +b1101111 f +b1 R +b111011111100 E +b111011111000 - +b111011111000 5 +b111011111000 G +b111011111000 U +1$ +#9582 +0$ +#9583 +b10 R +b1110000 f +1$ +#9584 +0$ +#9585 +b1110001 f +b11 R +1$ +#9586 +0$ +#9587 +b100 R +b1110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9588 +0$ +#9589 +b1110011 f +b0 R +0% +0M +b111011111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9590 +0$ +#9591 +b1 R +b111100000000 E +b111011111100 - +b111011111100 5 +b111011111100 G +b111011111100 U +b1110100 f +1$ +#9592 +0$ +#9593 +b1110101 f +b10 R +1$ +#9594 +0$ +#9595 +b11 R +b1110110 f +1$ +#9596 +0$ +#9597 +b1110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9598 +0$ +#9599 +b0 R +0% +0M +b111100000000 D +b1111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9600 +0$ +#9601 +b1111001 f +b1 R +b111100000100 E +b111100000000 - +b111100000000 5 +b111100000000 G +b111100000000 U +1$ +#9602 +0$ +#9603 +b10 R +b1111010 f +1$ +#9604 +0$ +#9605 +b1111011 f +b11 R +1$ +#9606 +0$ +#9607 +b100 R +b1111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9608 +0$ +#9609 +b1111101 f +b0 R +0% +0M +b111100000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9610 +0$ +#9611 +b1 R +b111100001000 E +b111100000100 - +b111100000100 5 +b111100000100 G +b111100000100 U +b1111110 f +1$ +#9612 +0$ +#9613 +b1111111 f +b10 R +1$ +#9614 +0$ +#9615 +b11 R +b10000000 f +1$ +#9616 +0$ +#9617 +b10000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9618 +0$ +#9619 +b0 R +0% +0M +b111100001000 D +b10000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9620 +0$ +#9621 +b10000011 f +b1 R +b111100001100 E +b111100001000 - +b111100001000 5 +b111100001000 G +b111100001000 U +1$ +#9622 +0$ +#9623 +b10 R +b10000100 f +1$ +#9624 +0$ +#9625 +b10000101 f +b11 R +1$ +#9626 +0$ +#9627 +b100 R +b10000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9628 +0$ +#9629 +b10000111 f +b0 R +0% +0M +b111100001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9630 +0$ +#9631 +b1 R +b111100010000 E +b111100001100 - +b111100001100 5 +b111100001100 G +b111100001100 U +b10001000 f +1$ +#9632 +0$ +#9633 +b10001001 f +b10 R +1$ +#9634 +0$ +#9635 +b11 R +b10001010 f +1$ +#9636 +0$ +#9637 +b10001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9638 +0$ +#9639 +b0 R +0% +0M +b111100010000 D +b10001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9640 +0$ +#9641 +b10001101 f +b1 R +b111100010100 E +b111100010000 - +b111100010000 5 +b111100010000 G +b111100010000 U +1$ +#9642 +0$ +#9643 +b10 R +b10001110 f +1$ +#9644 +0$ +#9645 +b10001111 f +b11 R +1$ +#9646 +0$ +#9647 +b100 R +b10010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9648 +0$ +#9649 +b10010001 f +b0 R +0% +0M +b111100010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9650 +0$ +#9651 +b1 R +b111100011000 E +b111100010100 - +b111100010100 5 +b111100010100 G +b111100010100 U +b10010010 f +1$ +#9652 +0$ +#9653 +b10010011 f +b10 R +1$ +#9654 +0$ +#9655 +b11 R +b10010100 f +1$ +#9656 +0$ +#9657 +b10010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9658 +0$ +#9659 +b0 R +0% +0M +b111100011000 D +b10010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9660 +0$ +#9661 +b10010111 f +b1 R +b111100011100 E +b111100011000 - +b111100011000 5 +b111100011000 G +b111100011000 U +1$ +#9662 +0$ +#9663 +b10 R +b10011000 f +1$ +#9664 +0$ +#9665 +b10011001 f +b11 R +1$ +#9666 +0$ +#9667 +b100 R +b10011010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9668 +0$ +#9669 +b10011011 f +b0 R +0% +0M +b111100011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9670 +0$ +#9671 +b1 R +b111100100000 E +b111100011100 - +b111100011100 5 +b111100011100 G +b111100011100 U +b10011100 f +1$ +#9672 +0$ +#9673 +b10011101 f +b10 R +1$ +#9674 +0$ +#9675 +b11 R +b10011110 f +1$ +#9676 +0$ +#9677 +b10011111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9678 +0$ +#9679 +b0 R +0% +0M +b111100100000 D +b10100000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9680 +0$ +#9681 +b10100001 f +b1 R +b111100100100 E +b111100100000 - +b111100100000 5 +b111100100000 G +b111100100000 U +1$ +#9682 +0$ +#9683 +b10 R +b10100010 f +1$ +#9684 +0$ +#9685 +b10100011 f +b11 R +1$ +#9686 +0$ +#9687 +b100 R +b10100100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9688 +0$ +#9689 +b10100101 f +b0 R +0% +0M +b111100100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9690 +0$ +#9691 +b1 R +b111100101000 E +b111100100100 - +b111100100100 5 +b111100100100 G +b111100100100 U +b10100110 f +1$ +#9692 +0$ +#9693 +b10100111 f +b10 R +1$ +#9694 +0$ +#9695 +b11 R +b10101000 f +1$ +#9696 +0$ +#9697 +b10101001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9698 +0$ +#9699 +b0 R +0% +0M +b111100101000 D +b10101010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9700 +0$ +#9701 +b10101011 f +b1 R +b111100101100 E +b111100101000 - +b111100101000 5 +b111100101000 G +b111100101000 U +1$ +#9702 +0$ +#9703 +b10 R +b10101100 f +1$ +#9704 +0$ +#9705 +b10101101 f +b11 R +1$ +#9706 +0$ +#9707 +b100 R +b10101110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9708 +0$ +#9709 +b10101111 f +b0 R +0% +0M +b111100101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9710 +0$ +#9711 +b1 R +b111100110000 E +b111100101100 - +b111100101100 5 +b111100101100 G +b111100101100 U +b10110000 f +1$ +#9712 +0$ +#9713 +b10110001 f +b10 R +1$ +#9714 +0$ +#9715 +b11 R +b10110010 f +1$ +#9716 +0$ +#9717 +b10110011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9718 +0$ +#9719 +b0 R +0% +0M +b111100110000 D +b10110100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9720 +0$ +#9721 +b10110101 f +b1 R +b111100110100 E +b111100110000 - +b111100110000 5 +b111100110000 G +b111100110000 U +1$ +#9722 +0$ +#9723 +b10 R +b10110110 f +1$ +#9724 +0$ +#9725 +b10110111 f +b11 R +1$ +#9726 +0$ +#9727 +b100 R +b10111000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9728 +0$ +#9729 +b10111001 f +b0 R +0% +0M +b111100110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9730 +0$ +#9731 +b1 R +b111100111000 E +b111100110100 - +b111100110100 5 +b111100110100 G +b111100110100 U +b10111010 f +1$ +#9732 +0$ +#9733 +b10111011 f +b10 R +1$ +#9734 +0$ +#9735 +b11 R +b10111100 f +1$ +#9736 +0$ +#9737 +b10111101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9738 +0$ +#9739 +b0 R +0% +0M +b111100111000 D +b10111110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9740 +0$ +#9741 +b10111111 f +b1 R +b111100111100 E +b111100111000 - +b111100111000 5 +b111100111000 G +b111100111000 U +1$ +#9742 +0$ +#9743 +b10 R +b11000000 f +1$ +#9744 +0$ +#9745 +b11000001 f +b11 R +1$ +#9746 +0$ +#9747 +b100 R +b11000010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9748 +0$ +#9749 +b11000011 f +b0 R +0% +0M +b111100111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9750 +0$ +#9751 +b1 R +b111101000000 E +b111100111100 - +b111100111100 5 +b111100111100 G +b111100111100 U +b11000100 f +1$ +#9752 +0$ +#9753 +b11000101 f +b10 R +1$ +#9754 +0$ +#9755 +b11 R +b11000110 f +1$ +#9756 +0$ +#9757 +b11000111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9758 +0$ +#9759 +b0 R +0% +0M +b111101000000 D +b11001000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9760 +0$ +#9761 +b11001001 f +b1 R +b111101000100 E +b111101000000 - +b111101000000 5 +b111101000000 G +b111101000000 U +1$ +#9762 +0$ +#9763 +b10 R +b11001010 f +1$ +#9764 +0$ +#9765 +b11001011 f +b11 R +1$ +#9766 +0$ +#9767 +b100 R +b11001100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9768 +0$ +#9769 +b11001101 f +b0 R +0% +0M +b111101000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9770 +0$ +#9771 +b1 R +b111101001000 E +b111101000100 - +b111101000100 5 +b111101000100 G +b111101000100 U +b11001110 f +1$ +#9772 +0$ +#9773 +b11001111 f +b10 R +1$ +#9774 +0$ +#9775 +b11 R +b11010000 f +1$ +#9776 +0$ +#9777 +b11010001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9778 +0$ +#9779 +b0 R +0% +0M +b111101001000 D +b11010010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9780 +0$ +#9781 +b11010011 f +b1 R +b111101001100 E +b111101001000 - +b111101001000 5 +b111101001000 G +b111101001000 U +1$ +#9782 +0$ +#9783 +b10 R +b11010100 f +1$ +#9784 +0$ +#9785 +b11010101 f +b11 R +1$ +#9786 +0$ +#9787 +b100 R +b11010110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9788 +0$ +#9789 +b11010111 f +b0 R +0% +0M +b111101001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9790 +0$ +#9791 +b1 R +b111101010000 E +b111101001100 - +b111101001100 5 +b111101001100 G +b111101001100 U +b11011000 f +1$ +#9792 +0$ +#9793 +b11011001 f +b10 R +1$ +#9794 +0$ +#9795 +b11 R +b11011010 f +1$ +#9796 +0$ +#9797 +b11011011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9798 +0$ +#9799 +b0 R +0% +0M +b111101010000 D +b11011100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9800 +0$ +#9801 +b11011101 f +b1 R +b111101010100 E +b111101010000 - +b111101010000 5 +b111101010000 G +b111101010000 U +1$ +#9802 +0$ +#9803 +b10 R +b11011110 f +1$ +#9804 +0$ +#9805 +b11011111 f +b11 R +1$ +#9806 +0$ +#9807 +b100 R +b11100000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9808 +0$ +#9809 +b11100001 f +b0 R +0% +0M +b111101010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9810 +0$ +#9811 +b1 R +b111101011000 E +b111101010100 - +b111101010100 5 +b111101010100 G +b111101010100 U +b11100010 f +1$ +#9812 +0$ +#9813 +b11100011 f +b10 R +1$ +#9814 +0$ +#9815 +b11 R +b11100100 f +1$ +#9816 +0$ +#9817 +b11100101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9818 +0$ +#9819 +b0 R +0% +0M +b111101011000 D +b11100110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9820 +0$ +#9821 +b11100111 f +b1 R +b111101011100 E +b111101011000 - +b111101011000 5 +b111101011000 G +b111101011000 U +1$ +#9822 +0$ +#9823 +b10 R +b11101000 f +1$ +#9824 +0$ +#9825 +b11101001 f +b11 R +1$ +#9826 +0$ +#9827 +b100 R +1] +b0 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9828 +0$ +#9829 +0] +b1 f +b111 k +b0 R +0% +0M +b111101011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9830 +0$ +#9831 +b1 R +b111101100000 E +b111101011100 - +b111101011100 5 +b111101011100 G +b111101011100 U +0! +0m +b10 f +1$ +#9832 +0$ +#9833 +b11 f +b10 R +1$ +#9834 +0$ +#9835 +b11 R +b100 f +1$ +#9836 +0$ +#9837 +b101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9838 +0$ +#9839 +b0 R +0% +0M +b111101100000 D +b110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9840 +0$ +#9841 +b111 f +b1 R +b111101100100 E +b111101100000 - +b111101100000 5 +b111101100000 G +b111101100000 U +1$ +#9842 +0$ +#9843 +b10 R +b1000 f +1$ +#9844 +0$ +#9845 +b1001 f +b11 R +1$ +#9846 +0$ +#9847 +b100 R +b1010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9848 +0$ +#9849 +b1011 f +b0 R +0% +0M +b111101100100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9850 +0$ +#9851 +b1 R +b111101101000 E +b111101100100 - +b111101100100 5 +b111101100100 G +b111101100100 U +b1100 f +1$ +#9852 +0$ +#9853 +b1101 f +b10 R +1$ +#9854 +0$ +#9855 +b11 R +b1110 f +1$ +#9856 +0$ +#9857 +b1111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9858 +0$ +#9859 +b0 R +0% +0M +b111101101000 D +b10000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9860 +0$ +#9861 +b10001 f +b1 R +b111101101100 E +b111101101000 - +b111101101000 5 +b111101101000 G +b111101101000 U +1$ +#9862 +0$ +#9863 +b10 R +b10010 f +1$ +#9864 +0$ +#9865 +b10011 f +b11 R +1$ +#9866 +0$ +#9867 +b100 R +b10100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9868 +0$ +#9869 +b10101 f +b0 R +0% +0M +b111101101100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9870 +0$ +#9871 +b1 R +b111101110000 E +b111101101100 - +b111101101100 5 +b111101101100 G +b111101101100 U +b10110 f +1$ +#9872 +0$ +#9873 +b10111 f +b10 R +1$ +#9874 +0$ +#9875 +b11 R +b11000 f +1$ +#9876 +0$ +#9877 +b11001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9878 +0$ +#9879 +b0 R +0% +0M +b111101110000 D +b11010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9880 +0$ +#9881 +b11011 f +b1 R +b111101110100 E +b111101110000 - +b111101110000 5 +b111101110000 G +b111101110000 U +1$ +#9882 +0$ +#9883 +b10 R +b11100 f +1$ +#9884 +0$ +#9885 +b11101 f +b11 R +1$ +#9886 +0$ +#9887 +b100 R +b11110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9888 +0$ +#9889 +b11111 f +b0 R +0% +0M +b111101110100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9890 +0$ +#9891 +b1 R +b111101111000 E +b111101110100 - +b111101110100 5 +b111101110100 G +b111101110100 U +b100000 f +1$ +#9892 +0$ +#9893 +b100001 f +b10 R +1$ +#9894 +0$ +#9895 +b11 R +b100010 f +1$ +#9896 +0$ +#9897 +b100011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9898 +0$ +#9899 +b0 R +0% +0M +b111101111000 D +b100100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9900 +0$ +#9901 +b100101 f +b1 R +b111101111100 E +b111101111000 - +b111101111000 5 +b111101111000 G +b111101111000 U +1$ +#9902 +0$ +#9903 +b10 R +b100110 f +1$ +#9904 +0$ +#9905 +b100111 f +b11 R +1$ +#9906 +0$ +#9907 +b100 R +b101000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9908 +0$ +#9909 +b101001 f +b0 R +0% +0M +b111101111100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9910 +0$ +#9911 +b1 R +b111110000000 E +b111101111100 - +b111101111100 5 +b111101111100 G +b111101111100 U +b101010 f +1$ +#9912 +0$ +#9913 +b101011 f +b10 R +1$ +#9914 +0$ +#9915 +b11 R +b101100 f +1$ +#9916 +0$ +#9917 +b101101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9918 +0$ +#9919 +b0 R +0% +0M +b111110000000 D +b101110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9920 +0$ +#9921 +b101111 f +b1 R +b111110000100 E +b111110000000 - +b111110000000 5 +b111110000000 G +b111110000000 U +1$ +#9922 +0$ +#9923 +b10 R +b110000 f +1$ +#9924 +0$ +#9925 +b110001 f +b11 R +1$ +#9926 +0$ +#9927 +b100 R +b110010 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9928 +0$ +#9929 +b110011 f +b0 R +0% +0M +b111110000100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9930 +0$ +#9931 +b1 R +b111110001000 E +b111110000100 - +b111110000100 5 +b111110000100 G +b111110000100 U +b110100 f +1$ +#9932 +0$ +#9933 +b110101 f +b10 R +1$ +#9934 +0$ +#9935 +b11 R +b110110 f +1$ +#9936 +0$ +#9937 +b110111 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9938 +0$ +#9939 +b0 R +0% +0M +b111110001000 D +b111000 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9940 +0$ +#9941 +b111001 f +b1 R +b111110001100 E +b111110001000 - +b111110001000 5 +b111110001000 G +b111110001000 U +1$ +#9942 +0$ +#9943 +b10 R +b111010 f +1$ +#9944 +0$ +#9945 +b111011 f +b11 R +1$ +#9946 +0$ +#9947 +b100 R +b111100 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9948 +0$ +#9949 +b111101 f +b0 R +0% +0M +b111110001100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9950 +0$ +#9951 +b1 R +b111110010000 E +b111110001100 - +b111110001100 5 +b111110001100 G +b111110001100 U +b111110 f +1$ +#9952 +0$ +#9953 +b111111 f +b10 R +1$ +#9954 +0$ +#9955 +b11 R +b1000000 f +1$ +#9956 +0$ +#9957 +b1000001 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9958 +0$ +#9959 +b0 R +0% +0M +b111110010000 D +b1000010 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9960 +0$ +#9961 +b1000011 f +b1 R +b111110010100 E +b111110010000 - +b111110010000 5 +b111110010000 G +b111110010000 U +1$ +#9962 +0$ +#9963 +b10 R +b1000100 f +1$ +#9964 +0$ +#9965 +b1000101 f +b11 R +1$ +#9966 +0$ +#9967 +b100 R +b1000110 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9968 +0$ +#9969 +b1000111 f +b0 R +0% +0M +b111110010100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9970 +0$ +#9971 +b1 R +b111110011000 E +b111110010100 - +b111110010100 5 +b111110010100 G +b111110010100 U +b1001000 f +1$ +#9972 +0$ +#9973 +b1001001 f +b10 R +1$ +#9974 +0$ +#9975 +b11 R +b1001010 f +1$ +#9976 +0$ +#9977 +b1001011 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9978 +0$ +#9979 +b0 R +0% +0M +b111110011000 D +b1001100 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9980 +0$ +#9981 +b1001101 f +b1 R +b111110011100 E +b111110011000 - +b111110011000 5 +b111110011000 G +b111110011000 U +1$ +#9982 +0$ +#9983 +b10 R +b1001110 f +1$ +#9984 +0$ +#9985 +b1001111 f +b11 R +1$ +#9986 +0$ +#9987 +b100 R +b1010000 f +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9988 +0$ +#9989 +b1010001 f +b0 R +0% +0M +b111110011100 D +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#9990 +0$ +#9991 +b1 R +b111110100000 E +b111110011100 - +b111110011100 5 +b111110011100 G +b111110011100 U +b1010010 f +1$ +#9992 +0$ +#9993 +b1010011 f +b10 R +1$ +#9994 +0$ +#9995 +b11 R +b1010100 f +1$ +#9996 +0$ +#9997 +b1010101 f +b100 R +x% +xM +bx * +bx 2 +bx X +bx + +bx 3 +bx I +bx V +1$ +#9998 +0$ +#9999 +b0 R +0% +0M +b111110100000 D +b1010110 f +b1000001000000000000000000000000 * +b1000001000000000000000000000000 2 +b1000001000000000000000000000000 X +b100 + +b100 3 +b100 I +b100 V +1$ +#10000 +0$ diff --git a/src/top.v b/src/top.v new file mode 100644 index 0000000..8f39ec5 --- /dev/null +++ b/src/top.v @@ -0,0 +1,97 @@ +module TOP( + input clock, + output LED, + output tx +); + + wire tx_busy; + wire tx_start; + wire [7:0] tx_data; + + UART uart0( + .clock(clock), + .tx(tx), + .tx_busy(tx_busy), + .start(tx_start), + .data_in(tx_data), + .LED(LED) + ); + + wire [31:0] inst; + wire [31:0] rdata; + wire [31:0] raddr; + wire [31:0] iaddr; + wire [31:0] wdata; + wire wen; + MEMORY mem0( + .clock(clock), + .raddr(raddr), + .iaddr(iaddr), + .wen(wen), + .wdata(wdata), + .inst(inst), + .rdata(rdata) + ); + + CORE core0( + .clock(clock), + .tx_start(tx_start), + .tx_data(tx_data), + .raddr(raddr), + .iaddr(iaddr), + .wen(wen), + .wdata(wdata), + .inst(inst), + .rdata(rdata) + ); +/* + localparam MEM_WRITE = 0; + localparam MEM_READ = 1; + localparam MEM_IDLE = 2; + + localparam WAIT_TIME = 200000; + reg [31:0] clock_count; + reg [7:0] send_count; + reg [3:0] state; + wire [4 * 8:0] str = "test"; + initial begin + clock_count = WAIT_TIME; + send_count = 0; + state = MEM_WRITE; + tx_start = 1'b0; + tx_data = 8'b0; + end + + always @(posedge clock) begin + if (clock_count == WAIT_TIME) begin + + case (state) + MEM_WRITE: begin + raddr <= 32'b0; + wdata <= "C"; + wen <= 1'b1; + state <= MEM_READ; + end + + MEM_READ: begin + wen <= 1'b0; + raddr <= 32'b0; + if (tx_busy == 1'b0) begin + tx_data <= rdata[0 +:8]; + tx_start <= 1'b1; + end + state <= MEM_IDLE; + end + + MEM_IDLE: + state <= MEM_WRITE; + endcase + + clock_count <= 0; + end else begin + clock_count <= clock_count + 1; + tx_start <= 1'b1; + end + end*/ + +endmodule \ No newline at end of file diff --git a/src/uart.v b/src/uart.v new file mode 100644 index 0000000..5de4f43 --- /dev/null +++ b/src/uart.v @@ -0,0 +1,126 @@ +module UART( + input clock, + + input [7:0] data_in, + input start, + + output tx_busy, + output tx, + output LED +); + + // UART Clock + localparam FPGA_FREQ = 27; // MHz + localparam UART_FREQ = 115200; + localparam TX_CLOCK_COUNT_MAX = FPGA_FREQ * 1000000 / UART_FREQ - 1; + + reg [31:0] clock_count; + + reg led_flag; + assign LED = led_flag; + + wire tx_clock = (clock_count == 0); + + initial begin + clock_count = 0; + end + + always @(posedge clock) begin + if (clock_count == TX_CLOCK_COUNT_MAX) begin + clock_count <= 0; + led_flag <= ~led_flag; + end else begin + clock_count <= clock_count + 1; + end + end + + + // State Machine + localparam S_IDLE = 0; + localparam S_START = 1; + localparam S_SEND = 2; + localparam S_P = 3; + localparam S_END = 4; + + reg [4:0] state; + reg [3:0] send_count; + + reg tx_reg; + reg [7:0] data; + reg [7:0] local_in; + reg local_start; + + assign tx = tx_reg; + + always @(posedge clock) begin + local_in <= data_in; + local_start <= start; + end + + assign tx_busy = (state != S_IDLE); + + initial begin + state = S_IDLE; + send_count = 0; + tx_reg = 1'b1; + end + + always @(posedge clock) begin + case (state) + S_IDLE: + if (tx_clock) begin + if (local_start) begin + tx_reg <= 1'b1; + state <= S_START; + end else begin + tx_reg <= 1'b1; + state <= S_IDLE; + end + end + + S_START: + if (tx_clock) begin + tx_reg <= 1'b0; + data <= local_in; + send_count <= 0; + state <= S_SEND; + end else begin + tx_reg <= 1'b0; + state <= S_START; + end + + S_SEND: + if (tx_clock) begin + tx_reg <= data[send_count]; + if (send_count == 3'd7) begin + state <= S_P; + end else begin + send_count <= send_count + 1; + state <= S_SEND; + end + end else begin + tx_reg <= data[send_count]; + state <= S_SEND; + end + + S_P: + if (tx_clock) begin + tx_reg <= 1'b1; + state <= S_END; + end else begin + tx_reg <= 1'b1; + state <= S_P; + end + + S_END: + if (tx_clock) begin + tx_reg <= 1'b1; + state <= S_IDLE; + end else begin + tx_reg <= 1'b1; + state <= S_END; + end + endcase + end + +endmodule \ No newline at end of file diff --git a/src/uart_tb.v b/src/uart_tb.v new file mode 100644 index 0000000..9f7e94e --- /dev/null +++ b/src/uart_tb.v @@ -0,0 +1,23 @@ +module memory_tb; + + parameter RATE = 1; + + initial begin + $dumpfile("tb_memory.vcd"); + $dumpvars(3, memory_tb); + + # (10000) $finish; + end + + reg clk = 0; + always #(RATE) clk = !clk; + wire LED; + wire TX; + + TOP top( + .clock(clk), + .LED(LED), + .tx(TX) + ); + +endmodule \ No newline at end of file